This repository has been archived on 2024-01-06. You can view files and clone it, but cannot push or open issues or pull requests.
justhomework/Quartus/v6_testbench_top/work/_info

150 lines
3 KiB
Text
Raw Normal View History

2022-05-10 09:37:13 +00:00
m255
K4
z2
!s11f vlog 2020.1 2020.02, Feb 28 2020
13
!s112 1.1
!i10d 8192
!i10e 25
!i10f 100
cModel Technology
d/home/ir
vjyh_4490_4_encoder
Z0 !s110 1652174439
!i10b 1
!s100 cFk5FR?`]C?]?DGkTnFdM3
Z1 !s11b Dg1SIo80bB@j0V0VzS_@n1
I?07CIJlcZ[`=lz^XgA`PQ3
Z2 VDg1SIo80bB@j0V0VzS_@n1
Z3 d/home/ir/Documents/codelib/Quartus/v6_testbench_top
w1652170623
8/home/ir/Documents/codelib/Quartus/v6/jyh_4490_6_encoder.v
F/home/ir/Documents/codelib/Quartus/v6/jyh_4490_6_encoder.v
!i122 12
L0 2 69
Z4 OV;L;2020.1;71
r1
!s85 0
31
Z5 !s108 1652174439.000000
!s107 /home/ir/Documents/codelib/Quartus/v6/jyh_4490_6_encoder.v|
!s90 -reportprogress|300|-work|work|-stats=none|/home/ir/Documents/codelib/Quartus/v6/jyh_4490_6_encoder.v|
!i113 1
Z6 o-work work
Z7 tCvgOpt 0
vjyh_4490_6_counter
R0
!i10b 1
!s100 gVDeKj5zN0CHQkRca45zR3
R1
I7_9kXhFU:[N1EhA95B1U73
R2
R3
w1652171577
8/home/ir/Documents/codelib/Quartus/v6/jyh_4490_6_counter.v
F/home/ir/Documents/codelib/Quartus/v6/jyh_4490_6_counter.v
!i122 11
L0 1 68
R4
r1
!s85 0
31
R5
!s107 /home/ir/Documents/codelib/Quartus/v6/jyh_4490_6_counter.v|
!s90 -reportprogress|300|-work|work|-stats=none|/home/ir/Documents/codelib/Quartus/v6/jyh_4490_6_counter.v|
!i113 1
R6
R7
vjyh_4490_6_divider
!s110 1652174471
!i10b 1
!s100 zdk?4e^CNLoe=JoFWze7Z1
R1
I[K2]Cc5^@XRcAooMje=NS0
R2
R3
w1652174341
8/home/ir/Documents/codelib/Quartus/v6/jyh_4490_6_divider.v
F/home/ir/Documents/codelib/Quartus/v6/jyh_4490_6_divider.v
!i122 16
L0 1 19
R4
r1
!s85 0
31
!s108 1652174471.000000
!s107 /home/ir/Documents/codelib/Quartus/v6/jyh_4490_6_divider.v|
!s90 -reportprogress|300|-work|work|-stats=none|/home/ir/Documents/codelib/Quartus/v6/jyh_4490_6_divider.v|
!i113 1
R6
R7
vjyh_4490_6_entry
R0
!i10b 1
!s100 T]bAAXcT6RDaDUZaH0^EF3
R1
I1h;De6cU@V<3OKT4``cT`3
R2
R3
w1652174396
8/home/ir/Documents/codelib/Quartus/v6/jyh_4490_6_entry.v
F/home/ir/Documents/codelib/Quartus/v6/jyh_4490_6_entry.v
!i122 13
L0 1 61
R4
r1
!s85 0
31
R5
!s107 /home/ir/Documents/codelib/Quartus/v6/jyh_4490_6_entry.v|
!s90 -reportprogress|300|-work|work|-stats=none|/home/ir/Documents/codelib/Quartus/v6/jyh_4490_6_entry.v|
!i113 1
R6
R7
vjyh_4490_6_testbench_top
!s110 1652174989
!i10b 1
!s100 YdFL79f[R<XYgNo=0lhT`0
R1
ILc>i^Ik@6?k=iclWclZ3;3
R2
R3
w1652174945
8/home/ir/Documents/codelib/Quartus/v6/jyh_4490_6_testbench_top.v
F/home/ir/Documents/codelib/Quartus/v6/jyh_4490_6_testbench_top.v
!i122 18
L0 2 49
R4
r1
!s85 0
31
!s108 1652174989.000000
!s107 /home/ir/Documents/codelib/Quartus/v6/jyh_4490_6_testbench_top.v|
!s90 -reportprogress|300|-work|work|-stats=none|/home/ir/Documents/codelib/Quartus/v6/jyh_4490_6_testbench_top.v|
!i113 1
R6
R7
vjyh_4490_mstate
R0
!i10b 1
!s100 k6`3d<mJf9V5FF52Yi=aD2
R1
I[WM>_8l:B?R5kVbE<[il30
R2
R3
w1652112350
8/home/ir/Documents/codelib/Quartus/v6/jyh_4490_mstate.v
F/home/ir/Documents/codelib/Quartus/v6/jyh_4490_mstate.v
!i122 15
L0 1 53
R4
r1
!s85 0
31
R5
!s107 /home/ir/Documents/codelib/Quartus/v6/jyh_4490_mstate.v|
!s90 -reportprogress|300|-work|work|-stats=none|/home/ir/Documents/codelib/Quartus/v6/jyh_4490_mstate.v|
!i113 1
R6
R7