This repository has been archived on 2024-01-06. You can view files and clone it, but cannot push or open issues or pull requests.
justhomework/Quartus/Design/uart_screen/uart_screen_generation.rpt

35 lines
1.8 KiB
Text
Raw Normal View History

Info: Starting: Create block symbol file (.bsf)
Info: qsys-generate /home/ir/Documents/codelib/Quartus/Design/uart_screen.qsys --block-symbol-file --output-directory=/home/ir/Documents/codelib/Quartus/Design/uart_screen --family="Cyclone IV E" --part=EP4CE6E22C8
Progress: Loading Design/uart_screen.qsys
Progress: Reading input file
Progress: Adding clk_0 [clock_source 21.1]
Progress: Parameterizing module clk_0
Progress: Adding rs232_0 [altera_up_avalon_rs232 17.1]
Progress: Parameterizing module rs232_0
Progress: Building connections
Progress: Parameterizing connections
Progress: Validating
Progress: Done reading input file
Info: qsys-generate succeeded.
Info: Finished: Create block symbol file (.bsf)
Info:
Info: Starting: Create HDL design files for synthesis
Info: qsys-generate /home/ir/Documents/codelib/Quartus/Design/uart_screen.qsys --synthesis=VERILOG --output-directory=/home/ir/Documents/codelib/Quartus/Design/uart_screen/synthesis --family="Cyclone IV E" --part=EP4CE6E22C8
Progress: Loading Design/uart_screen.qsys
Progress: Reading input file
Progress: Adding clk_0 [clock_source 21.1]
Progress: Parameterizing module clk_0
Progress: Adding rs232_0 [altera_up_avalon_rs232 17.1]
Progress: Parameterizing module rs232_0
Progress: Building connections
Progress: Parameterizing connections
Progress: Validating
Progress: Done reading input file
Info: uart_screen: Generating uart_screen "uart_screen" for QUARTUS_SYNTH
Info: rs232_0: Starting Generation of RS232 UART
Info: rs232_0: "uart_screen" instantiated altera_up_avalon_rs232 "rs232_0"
Info: rst_controller: "uart_screen" instantiated altera_reset_controller "rst_controller"
Info: uart_screen: Done "uart_screen" with 3 modules, 9 files
Info: qsys-generate succeeded.
Info: Finished: Create HDL design files for synthesis