From 559571130744e085d289ce1bbf999eda56dd44c8 Mon Sep 17 00:00:00 2001 From: iridiumR Date: Tue, 19 Apr 2022 15:19:04 +0800 Subject: [PATCH 01/11] =?UTF-8?q?=E4=BF=AE=E5=A4=8Dbug?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit --- Quartus/v4/Waveform.vwf | 838 ++++++++++++++++---------------- Quartus/v4/jyh_4490_4.qsf | 14 +- Quartus/v4/jyh_4490_4_counter.v | 2 - Quartus/v4/jyh_4490_4_divider.v | 4 +- 4 files changed, 422 insertions(+), 436 deletions(-) diff --git a/Quartus/v4/Waveform.vwf b/Quartus/v4/Waveform.vwf index d5dbc00..d6b4563 100644 --- a/Quartus/v4/Waveform.vwf +++ b/Quartus/v4/Waveform.vwf @@ -20,13 +20,6 @@ proc simTimestamp {} { after 2500 simTimestamp run -all quit -f - - - - - - - onerror {exit -code 1} vlib work @@ -45,13 +38,6 @@ proc simTimestamp {} { after 2500 simTimestamp run -all quit -f - - - - - - - verilog */ @@ -110,6 +96,16 @@ SIGNAL("clk") PARENT = ""; } +SIGNAL("clk_50m") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + SIGNAL("clr") { VALUE_TYPE = NINE_LEVEL_BIT; @@ -420,36 +416,6 @@ SIGNAL("out1[0]") PARENT = "out1"; } -SIGNAL("upd") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = INPUT; - PARENT = ""; -} - -SIGNAL("subclk") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = OUTPUT; - PARENT = ""; -} - -SIGNAL("clk_50m") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = INPUT; - PARENT = ""; -} - SIGNAL("seg") { VALUE_TYPE = NINE_LEVEL_BIT; @@ -540,6 +506,26 @@ SIGNAL("seg[0]") PARENT = "seg"; } +SIGNAL("subclk") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("upd") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + TRANSITION_LIST("CO") { NODE @@ -556,9 +542,23 @@ TRANSITION_LIST("clk") REPEAT = 1; NODE { - REPEAT = 50; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 10.0; + REPEAT = 100; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + } + } +} + +TRANSITION_LIST("clk_50m") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 100; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; } } } @@ -568,9 +568,7 @@ TRANSITION_LIST("clr") NODE { REPEAT = 1; - LEVEL 1 FOR 580.0; - LEVEL 0 FOR 40.0; - LEVEL 1 FOR 380.0; + LEVEL 1 FOR 1000.0; } } @@ -642,8 +640,7 @@ TRANSITION_LIST("en") NODE { REPEAT = 1; - LEVEL 0 FOR 40.0; - LEVEL 1 FOR 960.0; + LEVEL 1 FOR 1000.0; } } @@ -661,7 +658,7 @@ TRANSITION_LIST("in0[2]") NODE { REPEAT = 1; - LEVEL 1 FOR 1000.0; + LEVEL 0 FOR 1000.0; } } @@ -679,7 +676,7 @@ TRANSITION_LIST("in0[0]") NODE { REPEAT = 1; - LEVEL 1 FOR 1000.0; + LEVEL 0 FOR 1000.0; } } @@ -697,7 +694,7 @@ TRANSITION_LIST("in1[2]") NODE { REPEAT = 1; - LEVEL 1 FOR 1000.0; + LEVEL 0 FOR 1000.0; } } @@ -706,7 +703,7 @@ TRANSITION_LIST("in1[1]") NODE { REPEAT = 1; - LEVEL 1 FOR 1000.0; + LEVEL 0 FOR 1000.0; } } @@ -724,9 +721,7 @@ TRANSITION_LIST("load") NODE { REPEAT = 1; - LEVEL 0 FOR 480.0; - LEVEL 1 FOR 30.0; - LEVEL 0 FOR 490.0; + LEVEL 0 FOR 1000.0; } } @@ -802,39 +797,6 @@ TRANSITION_LIST("out1[0]") } } -TRANSITION_LIST("upd") -{ - NODE - { - REPEAT = 1; - LEVEL 0 FOR 500.0; - LEVEL 1 FOR 500.0; - } -} - -TRANSITION_LIST("subclk") -{ - NODE - { - REPEAT = 1; - LEVEL X FOR 1000.0; - } -} - -TRANSITION_LIST("clk_50m") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 200; - LEVEL 0 FOR 2.5; - LEVEL 1 FOR 2.5; - } - } -} - TRANSITION_LIST("seg[7]") { NODE @@ -907,9 +869,27 @@ TRANSITION_LIST("seg[0]") } } +TRANSITION_LIST("subclk") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("upd") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } +} + DISPLAY_LINE { - CHANNEL = "clk"; + CHANNEL = "CO"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; TREE_INDEX = 0; @@ -918,7 +898,7 @@ DISPLAY_LINE DISPLAY_LINE { - CHANNEL = "clk_50m"; + CHANNEL = "clk"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; TREE_INDEX = 1; @@ -927,347 +907,30 @@ DISPLAY_LINE DISPLAY_LINE { - CHANNEL = "subclk"; + CHANNEL = "clk_50m"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; TREE_INDEX = 2; TREE_LEVEL = 0; } -DISPLAY_LINE -{ - CHANNEL = "in0"; - EXPAND_STATUS = COLLAPSED; - RADIX = Unsigned; - TREE_INDEX = 3; - TREE_LEVEL = 0; - CHILDREN = 4, 5, 6, 7; -} - -DISPLAY_LINE -{ - CHANNEL = "in0[3]"; - EXPAND_STATUS = COLLAPSED; - RADIX = Unsigned; - TREE_INDEX = 4; - TREE_LEVEL = 1; - PARENT = 3; -} - -DISPLAY_LINE -{ - CHANNEL = "in0[2]"; - EXPAND_STATUS = COLLAPSED; - RADIX = Unsigned; - TREE_INDEX = 5; - TREE_LEVEL = 1; - PARENT = 3; -} - -DISPLAY_LINE -{ - CHANNEL = "in0[1]"; - EXPAND_STATUS = COLLAPSED; - RADIX = Unsigned; - TREE_INDEX = 6; - TREE_LEVEL = 1; - PARENT = 3; -} - -DISPLAY_LINE -{ - CHANNEL = "in0[0]"; - EXPAND_STATUS = COLLAPSED; - RADIX = Unsigned; - TREE_INDEX = 7; - TREE_LEVEL = 1; - PARENT = 3; -} - -DISPLAY_LINE -{ - CHANNEL = "in1"; - EXPAND_STATUS = COLLAPSED; - RADIX = Signed; - TREE_INDEX = 8; - TREE_LEVEL = 0; - CHILDREN = 9, 10, 11, 12; -} - -DISPLAY_LINE -{ - CHANNEL = "in1[3]"; - EXPAND_STATUS = COLLAPSED; - RADIX = Signed; - TREE_INDEX = 9; - TREE_LEVEL = 1; - PARENT = 8; -} - -DISPLAY_LINE -{ - CHANNEL = "in1[2]"; - EXPAND_STATUS = COLLAPSED; - RADIX = Signed; - TREE_INDEX = 10; - TREE_LEVEL = 1; - PARENT = 8; -} - -DISPLAY_LINE -{ - CHANNEL = "in1[1]"; - EXPAND_STATUS = COLLAPSED; - RADIX = Signed; - TREE_INDEX = 11; - TREE_LEVEL = 1; - PARENT = 8; -} - -DISPLAY_LINE -{ - CHANNEL = "in1[0]"; - EXPAND_STATUS = COLLAPSED; - RADIX = Signed; - TREE_INDEX = 12; - TREE_LEVEL = 1; - PARENT = 8; -} - DISPLAY_LINE { CHANNEL = "clr"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; - TREE_INDEX = 13; + TREE_INDEX = 3; TREE_LEVEL = 0; } -DISPLAY_LINE -{ - CHANNEL = "load"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 14; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "en"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 15; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "CO"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 16; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "seg"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 17; - TREE_LEVEL = 0; - CHILDREN = 18, 19, 20, 21, 22, 23, 24, 25; -} - -DISPLAY_LINE -{ - CHANNEL = "seg[7]"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 18; - TREE_LEVEL = 1; - PARENT = 17; -} - -DISPLAY_LINE -{ - CHANNEL = "seg[6]"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 19; - TREE_LEVEL = 1; - PARENT = 17; -} - -DISPLAY_LINE -{ - CHANNEL = "seg[5]"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 20; - TREE_LEVEL = 1; - PARENT = 17; -} - -DISPLAY_LINE -{ - CHANNEL = "seg[4]"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 21; - TREE_LEVEL = 1; - PARENT = 17; -} - -DISPLAY_LINE -{ - CHANNEL = "seg[3]"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 22; - TREE_LEVEL = 1; - PARENT = 17; -} - -DISPLAY_LINE -{ - CHANNEL = "seg[2]"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 23; - TREE_LEVEL = 1; - PARENT = 17; -} - -DISPLAY_LINE -{ - CHANNEL = "seg[1]"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 24; - TREE_LEVEL = 1; - PARENT = 17; -} - -DISPLAY_LINE -{ - CHANNEL = "seg[0]"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 25; - TREE_LEVEL = 1; - PARENT = 17; -} - -DISPLAY_LINE -{ - CHANNEL = "out0"; - EXPAND_STATUS = COLLAPSED; - RADIX = Unsigned; - TREE_INDEX = 26; - TREE_LEVEL = 0; - CHILDREN = 27, 28, 29, 30; -} - -DISPLAY_LINE -{ - CHANNEL = "out0[3]"; - EXPAND_STATUS = COLLAPSED; - RADIX = Unsigned; - TREE_INDEX = 27; - TREE_LEVEL = 1; - PARENT = 26; -} - -DISPLAY_LINE -{ - CHANNEL = "out0[2]"; - EXPAND_STATUS = COLLAPSED; - RADIX = Unsigned; - TREE_INDEX = 28; - TREE_LEVEL = 1; - PARENT = 26; -} - -DISPLAY_LINE -{ - CHANNEL = "out0[1]"; - EXPAND_STATUS = COLLAPSED; - RADIX = Unsigned; - TREE_INDEX = 29; - TREE_LEVEL = 1; - PARENT = 26; -} - -DISPLAY_LINE -{ - CHANNEL = "out0[0]"; - EXPAND_STATUS = COLLAPSED; - RADIX = Unsigned; - TREE_INDEX = 30; - TREE_LEVEL = 1; - PARENT = 26; -} - -DISPLAY_LINE -{ - CHANNEL = "out1"; - EXPAND_STATUS = COLLAPSED; - RADIX = Unsigned; - TREE_INDEX = 31; - TREE_LEVEL = 0; - CHILDREN = 32, 33, 34, 35; -} - -DISPLAY_LINE -{ - CHANNEL = "out1[3]"; - EXPAND_STATUS = COLLAPSED; - RADIX = Unsigned; - TREE_INDEX = 32; - TREE_LEVEL = 1; - PARENT = 31; -} - -DISPLAY_LINE -{ - CHANNEL = "out1[2]"; - EXPAND_STATUS = COLLAPSED; - RADIX = Unsigned; - TREE_INDEX = 33; - TREE_LEVEL = 1; - PARENT = 31; -} - -DISPLAY_LINE -{ - CHANNEL = "out1[1]"; - EXPAND_STATUS = COLLAPSED; - RADIX = Unsigned; - TREE_INDEX = 34; - TREE_LEVEL = 1; - PARENT = 31; -} - -DISPLAY_LINE -{ - CHANNEL = "out1[0]"; - EXPAND_STATUS = COLLAPSED; - RADIX = Unsigned; - TREE_INDEX = 35; - TREE_LEVEL = 1; - PARENT = 31; -} - DISPLAY_LINE { CHANNEL = "code"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; - TREE_INDEX = 36; + TREE_INDEX = 4; TREE_LEVEL = 0; - CHILDREN = 37, 38, 39, 40, 41, 42, 43; + CHILDREN = 5, 6, 7, 8, 9, 10, 11; } DISPLAY_LINE @@ -1275,9 +938,9 @@ DISPLAY_LINE CHANNEL = "code[6]"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; - TREE_INDEX = 37; + TREE_INDEX = 5; TREE_LEVEL = 1; - PARENT = 36; + PARENT = 4; } DISPLAY_LINE @@ -1285,9 +948,9 @@ DISPLAY_LINE CHANNEL = "code[5]"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; - TREE_INDEX = 38; + TREE_INDEX = 6; TREE_LEVEL = 1; - PARENT = 36; + PARENT = 4; } DISPLAY_LINE @@ -1295,9 +958,9 @@ DISPLAY_LINE CHANNEL = "code[4]"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; - TREE_INDEX = 39; + TREE_INDEX = 7; TREE_LEVEL = 1; - PARENT = 36; + PARENT = 4; } DISPLAY_LINE @@ -1305,9 +968,9 @@ DISPLAY_LINE CHANNEL = "code[3]"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; - TREE_INDEX = 40; + TREE_INDEX = 8; TREE_LEVEL = 1; - PARENT = 36; + PARENT = 4; } DISPLAY_LINE @@ -1315,9 +978,9 @@ DISPLAY_LINE CHANNEL = "code[2]"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; - TREE_INDEX = 41; + TREE_INDEX = 9; TREE_LEVEL = 1; - PARENT = 36; + PARENT = 4; } DISPLAY_LINE @@ -1325,9 +988,9 @@ DISPLAY_LINE CHANNEL = "code[1]"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; - TREE_INDEX = 42; + TREE_INDEX = 10; TREE_LEVEL = 1; - PARENT = 36; + PARENT = 4; } DISPLAY_LINE @@ -1335,9 +998,326 @@ DISPLAY_LINE CHANNEL = "code[0]"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; - TREE_INDEX = 43; + TREE_INDEX = 11; TREE_LEVEL = 1; - PARENT = 36; + PARENT = 4; +} + +DISPLAY_LINE +{ + CHANNEL = "en"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 12; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "in0"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 13; + TREE_LEVEL = 0; + CHILDREN = 14, 15, 16, 17; +} + +DISPLAY_LINE +{ + CHANNEL = "in0[3]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 14; + TREE_LEVEL = 1; + PARENT = 13; +} + +DISPLAY_LINE +{ + CHANNEL = "in0[2]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 15; + TREE_LEVEL = 1; + PARENT = 13; +} + +DISPLAY_LINE +{ + CHANNEL = "in0[1]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 16; + TREE_LEVEL = 1; + PARENT = 13; +} + +DISPLAY_LINE +{ + CHANNEL = "in0[0]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 17; + TREE_LEVEL = 1; + PARENT = 13; +} + +DISPLAY_LINE +{ + CHANNEL = "in1"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 18; + TREE_LEVEL = 0; + CHILDREN = 19, 20, 21, 22; +} + +DISPLAY_LINE +{ + CHANNEL = "in1[3]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 19; + TREE_LEVEL = 1; + PARENT = 18; +} + +DISPLAY_LINE +{ + CHANNEL = "in1[2]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 20; + TREE_LEVEL = 1; + PARENT = 18; +} + +DISPLAY_LINE +{ + CHANNEL = "in1[1]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 21; + TREE_LEVEL = 1; + PARENT = 18; +} + +DISPLAY_LINE +{ + CHANNEL = "in1[0]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 22; + TREE_LEVEL = 1; + PARENT = 18; +} + +DISPLAY_LINE +{ + CHANNEL = "load"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 23; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "out0"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 24; + TREE_LEVEL = 0; + CHILDREN = 25, 26, 27, 28; +} + +DISPLAY_LINE +{ + CHANNEL = "out0[3]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 25; + TREE_LEVEL = 1; + PARENT = 24; +} + +DISPLAY_LINE +{ + CHANNEL = "out0[2]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 26; + TREE_LEVEL = 1; + PARENT = 24; +} + +DISPLAY_LINE +{ + CHANNEL = "out0[1]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 27; + TREE_LEVEL = 1; + PARENT = 24; +} + +DISPLAY_LINE +{ + CHANNEL = "out0[0]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 28; + TREE_LEVEL = 1; + PARENT = 24; +} + +DISPLAY_LINE +{ + CHANNEL = "out1"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 29; + TREE_LEVEL = 0; + CHILDREN = 30, 31, 32, 33; +} + +DISPLAY_LINE +{ + CHANNEL = "out1[3]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 30; + TREE_LEVEL = 1; + PARENT = 29; +} + +DISPLAY_LINE +{ + CHANNEL = "out1[2]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 31; + TREE_LEVEL = 1; + PARENT = 29; +} + +DISPLAY_LINE +{ + CHANNEL = "out1[1]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 32; + TREE_LEVEL = 1; + PARENT = 29; +} + +DISPLAY_LINE +{ + CHANNEL = "out1[0]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 33; + TREE_LEVEL = 1; + PARENT = 29; +} + +DISPLAY_LINE +{ + CHANNEL = "seg"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 34; + TREE_LEVEL = 0; + CHILDREN = 35, 36, 37, 38, 39, 40, 41, 42; +} + +DISPLAY_LINE +{ + CHANNEL = "seg[7]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 35; + TREE_LEVEL = 1; + PARENT = 34; +} + +DISPLAY_LINE +{ + CHANNEL = "seg[6]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 36; + TREE_LEVEL = 1; + PARENT = 34; +} + +DISPLAY_LINE +{ + CHANNEL = "seg[5]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 37; + TREE_LEVEL = 1; + PARENT = 34; +} + +DISPLAY_LINE +{ + CHANNEL = "seg[4]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 38; + TREE_LEVEL = 1; + PARENT = 34; +} + +DISPLAY_LINE +{ + CHANNEL = "seg[3]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 39; + TREE_LEVEL = 1; + PARENT = 34; +} + +DISPLAY_LINE +{ + CHANNEL = "seg[2]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 40; + TREE_LEVEL = 1; + PARENT = 34; +} + +DISPLAY_LINE +{ + CHANNEL = "seg[1]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 41; + TREE_LEVEL = 1; + PARENT = 34; +} + +DISPLAY_LINE +{ + CHANNEL = "seg[0]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 42; + TREE_LEVEL = 1; + PARENT = 34; +} + +DISPLAY_LINE +{ + CHANNEL = "subclk"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 43; + TREE_LEVEL = 0; } DISPLAY_LINE diff --git a/Quartus/v4/jyh_4490_4.qsf b/Quartus/v4/jyh_4490_4.qsf index ccfe32d..8ea5da7 100644 --- a/Quartus/v4/jyh_4490_4.qsf +++ b/Quartus/v4/jyh_4490_4.qsf @@ -56,8 +56,7 @@ set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top set_global_assignment -name VERILOG_FILE jyh_4490_4_divider.v -set_global_assignment -name VECTOR_WAVEFORM_FILE Waveform.vwf -set_location_assignment PIN_43 -to CO +set_location_assignment PIN_58 -to CO set_location_assignment PIN_89 -to clk set_location_assignment PIN_24 -to clr set_location_assignment PIN_103 -to code[0] @@ -77,7 +76,6 @@ set_location_assignment PIN_46 -to out0[0] set_location_assignment PIN_50 -to out0[1] set_location_assignment PIN_52 -to out0[2] set_location_assignment PIN_54 -to out0[3] -set_location_assignment PIN_58 -to out1[0] set_location_assignment PIN_53 -to out1[1] set_location_assignment PIN_51 -to out1[2] set_location_assignment PIN_49 -to out1[3] @@ -91,4 +89,14 @@ set_location_assignment PIN_113 -to seg[6] set_location_assignment PIN_120 -to seg[7] set_location_assignment PIN_30 -to upd set_location_assignment PIN_90 -to clk_50m +set_global_assignment -name VECTOR_WAVEFORM_FILE Waveform.vwf +set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW" +set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)" +set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim (Verilog)" +set_global_assignment -name EDA_TIME_SCALE "1 ps" -section_id eda_simulation +set_global_assignment -name EDA_OUTPUT_DATA_FORMAT "VERILOG HDL" -section_id eda_simulation +set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_board_design_timing +set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_board_design_symbol +set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_board_design_signal_integrity +set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_board_design_boundary_scan set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/Quartus/v4/jyh_4490_4_counter.v b/Quartus/v4/jyh_4490_4_counter.v index 50bb9ce..7da8ed6 100644 --- a/Quartus/v4/jyh_4490_4_counter.v +++ b/Quartus/v4/jyh_4490_4_counter.v @@ -62,8 +62,6 @@ begin end end end - else - Q<=0; end endmodule diff --git a/Quartus/v4/jyh_4490_4_divider.v b/Quartus/v4/jyh_4490_4_divider.v index 4a8f4ad..8d3a828 100644 --- a/Quartus/v4/jyh_4490_4_divider.v +++ b/Quartus/v4/jyh_4490_4_divider.v @@ -1,8 +1,8 @@ module jyh_4490_4_divider(clk,clk_out); input clk; output reg clk_out; -// localparam TARGET=100000; - localparam TARGET=1; + localparam TARGET=50000; +// localparam TARGET=1; reg [19:0]counter=0; initial begin clk_out=0; From ee2bce66978ff056a65f940efa780f751a41b497 Mon Sep 17 00:00:00 2001 From: iridiumR Date: Tue, 19 Apr 2022 15:19:23 +0800 Subject: [PATCH 02/11] 2-3-4 --- SoftwareDesign/Code/2-3-4/mainwindow.cpp | 41 +++++++++++++++++++----- SoftwareDesign/Code/2-3-4/mainwindow.h | 8 +++-- SoftwareDesign/Code/2-3-4/mainwindow.ui | 12 +++---- 3 files changed, 45 insertions(+), 16 deletions(-) diff --git a/SoftwareDesign/Code/2-3-4/mainwindow.cpp b/SoftwareDesign/Code/2-3-4/mainwindow.cpp index 41a26bd..0e7b38a 100644 --- a/SoftwareDesign/Code/2-3-4/mainwindow.cpp +++ b/SoftwareDesign/Code/2-3-4/mainwindow.cpp @@ -2,14 +2,39 @@ #include "ui_mainwindow.h" MainWindow::MainWindow(QWidget *parent) - : QMainWindow(parent) - , ui(new Ui::MainWindow) -{ - ui->setupUi(this); + : QMainWindow(parent), ui(new Ui::MainWindow) { + ui->setupUi(this); + ui->centralwidget->setMouseTracking(true); + setMouseTracking(true); } -MainWindow::~MainWindow() -{ - delete ui; -} +MainWindow::~MainWindow() { delete ui; } +void MainWindow::mousePressEvent(QMouseEvent *e) { + if (e->button() == Qt::LeftButton) + ui->l1->setText("Left button press"); + else + ui->l1->setText("Right button press"); +} +void MainWindow::mouseReleaseEvent(QMouseEvent *e) { + if (e->button() == Qt::LeftButton) + ui->l1->setText("Left button release"); + else + ui->l1->setText("Right button release"); +} +void MainWindow::mouseDoubleClickEvent(QMouseEvent *e) { + if (e->button() == Qt::LeftButton) + ui->l1->setText("Left button double click"); + else + ui->l1->setText("Right button double click"); +} +void MainWindow::mouseMoveEvent(QMouseEvent *e) { + QPoint pos = e->globalPos(); + ui->l2->setText(QString("(%1,%2)").arg(pos.rx()).arg(pos.ry())); +} +void MainWindow::wheelEvent(QWheelEvent *e) { + if (e->delta() > 0) + ui->l1->setText("scroll up"); + else + ui->l1->setText("scroll down"); +} diff --git a/SoftwareDesign/Code/2-3-4/mainwindow.h b/SoftwareDesign/Code/2-3-4/mainwindow.h index f904d83..5e927f1 100644 --- a/SoftwareDesign/Code/2-3-4/mainwindow.h +++ b/SoftwareDesign/Code/2-3-4/mainwindow.h @@ -2,8 +2,8 @@ #define MAINWINDOW_H #include -#include #include +#include QT_BEGIN_NAMESPACE namespace Ui { @@ -22,6 +22,10 @@ private: Ui::MainWindow *ui; protected: - void mouseEvent(QMouseEvent *e); + void mousePressEvent(QMouseEvent *e); + void mouseReleaseEvent(QMouseEvent *e); + void mouseDoubleClickEvent(QMouseEvent *e); + void mouseMoveEvent(QMouseEvent *e); + void wheelEvent(QWheelEvent *e); }; #endif // MAINWINDOW_H diff --git a/SoftwareDesign/Code/2-3-4/mainwindow.ui b/SoftwareDesign/Code/2-3-4/mainwindow.ui index ac966a0..ad126f4 100644 --- a/SoftwareDesign/Code/2-3-4/mainwindow.ui +++ b/SoftwareDesign/Code/2-3-4/mainwindow.ui @@ -14,12 +14,12 @@ 4490 - + - 110 + 450 160 - 77 + 311 25 @@ -27,12 +27,12 @@ TextLabel - + - 330 + 160 160 - 77 + 221 25 From d1373e3e8d1a5c9af56831237bf4480f24334b0f Mon Sep 17 00:00:00 2001 From: iridiumR Date: Tue, 19 Apr 2022 15:56:42 +0800 Subject: [PATCH 03/11] 2-3-5 --- .../lab2-3-5_KeyEvent/lab2-3-5_KeyEvent.pro | 24 ++++++++++ .../Code/2-3-5/lab2-3-5_KeyEvent/main.cpp | 11 +++++ .../2-3-5/lab2-3-5_KeyEvent/mainwindow.cpp | 32 +++++++++++++ .../Code/2-3-5/lab2-3-5_KeyEvent/mainwindow.h | 24 ++++++++++ .../2-3-5/lab2-3-5_KeyEvent/mainwindow.ui | 45 +++++++++++++++++++ 5 files changed, 136 insertions(+) create mode 100644 SoftwareDesign/Code/2-3-5/lab2-3-5_KeyEvent/lab2-3-5_KeyEvent.pro create mode 100644 SoftwareDesign/Code/2-3-5/lab2-3-5_KeyEvent/main.cpp create mode 100644 SoftwareDesign/Code/2-3-5/lab2-3-5_KeyEvent/mainwindow.cpp create mode 100644 SoftwareDesign/Code/2-3-5/lab2-3-5_KeyEvent/mainwindow.h create mode 100644 SoftwareDesign/Code/2-3-5/lab2-3-5_KeyEvent/mainwindow.ui diff --git a/SoftwareDesign/Code/2-3-5/lab2-3-5_KeyEvent/lab2-3-5_KeyEvent.pro b/SoftwareDesign/Code/2-3-5/lab2-3-5_KeyEvent/lab2-3-5_KeyEvent.pro new file mode 100644 index 0000000..b915c09 --- /dev/null +++ b/SoftwareDesign/Code/2-3-5/lab2-3-5_KeyEvent/lab2-3-5_KeyEvent.pro @@ -0,0 +1,24 @@ +QT += core gui + +greaterThan(QT_MAJOR_VERSION, 4): QT += widgets + +CONFIG += c++17 + +# You can make your code fail to compile if it uses deprecated APIs. +# In order to do so, uncomment the following line. +#DEFINES += QT_DISABLE_DEPRECATED_BEFORE=0x060000 # disables all the APIs deprecated before Qt 6.0.0 + +SOURCES += \ + main.cpp \ + mainwindow.cpp + +HEADERS += \ + mainwindow.h + +FORMS += \ + mainwindow.ui + +# Default rules for deployment. +qnx: target.path = /tmp/$${TARGET}/bin +else: unix:!android: target.path = /opt/$${TARGET}/bin +!isEmpty(target.path): INSTALLS += target diff --git a/SoftwareDesign/Code/2-3-5/lab2-3-5_KeyEvent/main.cpp b/SoftwareDesign/Code/2-3-5/lab2-3-5_KeyEvent/main.cpp new file mode 100644 index 0000000..fd3e533 --- /dev/null +++ b/SoftwareDesign/Code/2-3-5/lab2-3-5_KeyEvent/main.cpp @@ -0,0 +1,11 @@ +#include "mainwindow.h" + +#include + +int main(int argc, char *argv[]) +{ + QApplication a(argc, argv); + MainWindow w; + w.show(); + return a.exec(); +} diff --git a/SoftwareDesign/Code/2-3-5/lab2-3-5_KeyEvent/mainwindow.cpp b/SoftwareDesign/Code/2-3-5/lab2-3-5_KeyEvent/mainwindow.cpp new file mode 100644 index 0000000..fcc48fa --- /dev/null +++ b/SoftwareDesign/Code/2-3-5/lab2-3-5_KeyEvent/mainwindow.cpp @@ -0,0 +1,32 @@ +#include "mainwindow.h" +#include "ui_mainwindow.h" + +MainWindow::MainWindow(QWidget *parent) + : QMainWindow(parent), ui(new Ui::MainWindow) { + ui->setupUi(this); +} + +MainWindow::~MainWindow() { delete ui; } + +void MainWindow::keyPressEvent(QKeyEvent *event) { + if (event->key() == Qt::Key_Up) { + ui->l->move(ui->l->pos().x(), ui->l->pos().y() - 20); + } else if (event->key() == Qt::Key_Down) { + ui->l->move(ui->l->pos().x(), ui->l->pos().y() + 20); + } else if (event->key() == Qt::Key_Left) { + ui->l->move(ui->l->pos().x() - 20, ui->l->pos().y()); + } else if (event->key() == Qt::Key_Right) { + ui->l->move(ui->l->pos().x() + 20, ui->l->pos().y()); + } +} +void MainWindow::keyReleaseEvent(QKeyEvent *e) { + if (e->key() == Qt::Key_Up) { + ui->l->move(ui->l->pos().x(), ui->l->pos().y() - 20); + } else if (e->key() == Qt::Key_Down) { + ui->l->move(ui->l->pos().x(), ui->l->pos().y() + 20); + } else if (e->key() == Qt::Key_Left) { + ui->l->move(ui->l->pos().x() - 20, ui->l->pos().y()); + } else if (e->key() == Qt::Key_Right) { + ui->l->move(ui->l->pos().x() + 20, ui->l->pos().y()); + } +} diff --git a/SoftwareDesign/Code/2-3-5/lab2-3-5_KeyEvent/mainwindow.h b/SoftwareDesign/Code/2-3-5/lab2-3-5_KeyEvent/mainwindow.h new file mode 100644 index 0000000..9de1fb3 --- /dev/null +++ b/SoftwareDesign/Code/2-3-5/lab2-3-5_KeyEvent/mainwindow.h @@ -0,0 +1,24 @@ +#ifndef MAINWINDOW_H +#define MAINWINDOW_H + +#include +#include +QT_BEGIN_NAMESPACE +namespace Ui { +class MainWindow; +} +QT_END_NAMESPACE + +class MainWindow : public QMainWindow { + Q_OBJECT + +public: + MainWindow(QWidget *parent = nullptr); + ~MainWindow(); + +private: + Ui::MainWindow *ui; + void keyPressEvent(QKeyEvent *e); + void keyReleaseEvent(QKeyEvent *e); +}; +#endif // MAINWINDOW_H diff --git a/SoftwareDesign/Code/2-3-5/lab2-3-5_KeyEvent/mainwindow.ui b/SoftwareDesign/Code/2-3-5/lab2-3-5_KeyEvent/mainwindow.ui new file mode 100644 index 0000000..3703833 --- /dev/null +++ b/SoftwareDesign/Code/2-3-5/lab2-3-5_KeyEvent/mainwindow.ui @@ -0,0 +1,45 @@ + + + MainWindow + + + + 0 + 0 + 800 + 600 + + + + MainWindow + + + + + + 240 + 200 + 77 + 25 + + + + TextLabel + + + + + + + 0 + 0 + 800 + 30 + + + + + + + + From 66a1a9214a301457fdf4d4b38a2a9162dee34602 Mon Sep 17 00:00:00 2001 From: iridiumR Date: Tue, 19 Apr 2022 16:08:11 +0800 Subject: [PATCH 04/11] =?UTF-8?q?=E6=8D=A2=E4=BD=8D=E7=BD=AE?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit --- .../Code/{2-3-5 => }/lab2-3-5_KeyEvent/lab2-3-5_KeyEvent.pro | 0 SoftwareDesign/Code/{2-3-5 => }/lab2-3-5_KeyEvent/main.cpp | 0 SoftwareDesign/Code/{2-3-5 => }/lab2-3-5_KeyEvent/mainwindow.cpp | 0 SoftwareDesign/Code/{2-3-5 => }/lab2-3-5_KeyEvent/mainwindow.h | 0 SoftwareDesign/Code/{2-3-5 => }/lab2-3-5_KeyEvent/mainwindow.ui | 0 5 files changed, 0 insertions(+), 0 deletions(-) rename SoftwareDesign/Code/{2-3-5 => }/lab2-3-5_KeyEvent/lab2-3-5_KeyEvent.pro (100%) rename SoftwareDesign/Code/{2-3-5 => }/lab2-3-5_KeyEvent/main.cpp (100%) rename SoftwareDesign/Code/{2-3-5 => }/lab2-3-5_KeyEvent/mainwindow.cpp (100%) rename SoftwareDesign/Code/{2-3-5 => }/lab2-3-5_KeyEvent/mainwindow.h (100%) rename SoftwareDesign/Code/{2-3-5 => }/lab2-3-5_KeyEvent/mainwindow.ui (100%) diff --git a/SoftwareDesign/Code/2-3-5/lab2-3-5_KeyEvent/lab2-3-5_KeyEvent.pro b/SoftwareDesign/Code/lab2-3-5_KeyEvent/lab2-3-5_KeyEvent.pro similarity index 100% rename from SoftwareDesign/Code/2-3-5/lab2-3-5_KeyEvent/lab2-3-5_KeyEvent.pro rename to SoftwareDesign/Code/lab2-3-5_KeyEvent/lab2-3-5_KeyEvent.pro diff --git a/SoftwareDesign/Code/2-3-5/lab2-3-5_KeyEvent/main.cpp b/SoftwareDesign/Code/lab2-3-5_KeyEvent/main.cpp similarity index 100% rename from SoftwareDesign/Code/2-3-5/lab2-3-5_KeyEvent/main.cpp rename to SoftwareDesign/Code/lab2-3-5_KeyEvent/main.cpp diff --git a/SoftwareDesign/Code/2-3-5/lab2-3-5_KeyEvent/mainwindow.cpp b/SoftwareDesign/Code/lab2-3-5_KeyEvent/mainwindow.cpp similarity index 100% rename from SoftwareDesign/Code/2-3-5/lab2-3-5_KeyEvent/mainwindow.cpp rename to SoftwareDesign/Code/lab2-3-5_KeyEvent/mainwindow.cpp diff --git a/SoftwareDesign/Code/2-3-5/lab2-3-5_KeyEvent/mainwindow.h b/SoftwareDesign/Code/lab2-3-5_KeyEvent/mainwindow.h similarity index 100% rename from SoftwareDesign/Code/2-3-5/lab2-3-5_KeyEvent/mainwindow.h rename to SoftwareDesign/Code/lab2-3-5_KeyEvent/mainwindow.h diff --git a/SoftwareDesign/Code/2-3-5/lab2-3-5_KeyEvent/mainwindow.ui b/SoftwareDesign/Code/lab2-3-5_KeyEvent/mainwindow.ui similarity index 100% rename from SoftwareDesign/Code/2-3-5/lab2-3-5_KeyEvent/mainwindow.ui rename to SoftwareDesign/Code/lab2-3-5_KeyEvent/mainwindow.ui From 19ba10a89fc9e8fed9276002357b263ddfa4ac4d Mon Sep 17 00:00:00 2001 From: iridiumR Date: Tue, 19 Apr 2022 18:03:16 +0800 Subject: [PATCH 05/11] 2-3 --- .../Lab2-3_Calculator4490_v3.pro | 26 +++ .../Code/Lab2-3_Calculator4490_v3/cal.cpp | 75 ++++++++ .../Code/Lab2-3_Calculator4490_v3/cal.h | 5 + .../Code/Lab2-3_Calculator4490_v3/main.cpp | 11 ++ .../Lab2-3_Calculator4490_v3/mainwindow.cpp | 160 ++++++++++++++++ .../Lab2-3_Calculator4490_v3/mainwindow.h | 48 +++++ .../Lab2-3_Calculator4490_v3/mainwindow.ui | 180 ++++++++++++++++++ .../Code/lab2-3-5_KeyEvent/mainwindow.cpp | 8 +- 8 files changed, 509 insertions(+), 4 deletions(-) create mode 100644 SoftwareDesign/Code/Lab2-3_Calculator4490_v3/Lab2-3_Calculator4490_v3.pro create mode 100644 SoftwareDesign/Code/Lab2-3_Calculator4490_v3/cal.cpp create mode 100644 SoftwareDesign/Code/Lab2-3_Calculator4490_v3/cal.h create mode 100644 SoftwareDesign/Code/Lab2-3_Calculator4490_v3/main.cpp create mode 100644 SoftwareDesign/Code/Lab2-3_Calculator4490_v3/mainwindow.cpp create mode 100644 SoftwareDesign/Code/Lab2-3_Calculator4490_v3/mainwindow.h create mode 100644 SoftwareDesign/Code/Lab2-3_Calculator4490_v3/mainwindow.ui diff --git a/SoftwareDesign/Code/Lab2-3_Calculator4490_v3/Lab2-3_Calculator4490_v3.pro b/SoftwareDesign/Code/Lab2-3_Calculator4490_v3/Lab2-3_Calculator4490_v3.pro new file mode 100644 index 0000000..bc0f036 --- /dev/null +++ b/SoftwareDesign/Code/Lab2-3_Calculator4490_v3/Lab2-3_Calculator4490_v3.pro @@ -0,0 +1,26 @@ +QT += core gui + +greaterThan(QT_MAJOR_VERSION, 4): QT += widgets + +CONFIG += c++17 + +# You can make your code fail to compile if it uses deprecated APIs. +# In order to do so, uncomment the following line. +#DEFINES += QT_DISABLE_DEPRECATED_BEFORE=0x060000 # disables all the APIs deprecated before Qt 6.0.0 + +SOURCES += \ + cal.cpp \ + main.cpp \ + mainwindow.cpp + +HEADERS += \ + cal.h \ + mainwindow.h + +FORMS += \ + mainwindow.ui + +# Default rules for deployment. +qnx: target.path = /tmp/$${TARGET}/bin +else: unix:!android: target.path = /opt/$${TARGET}/bin +!isEmpty(target.path): INSTALLS += target diff --git a/SoftwareDesign/Code/Lab2-3_Calculator4490_v3/cal.cpp b/SoftwareDesign/Code/Lab2-3_Calculator4490_v3/cal.cpp new file mode 100644 index 0000000..03454f6 --- /dev/null +++ b/SoftwareDesign/Code/Lab2-3_Calculator4490_v3/cal.cpp @@ -0,0 +1,75 @@ +#include // remove() +#include // pow() +#include +#include // stack +#include // runtime_error +#include // string +using std::string; + +// 返回运算符的优先级,值越大,优先级越高 +inline size_t precedence(const char op) { + if (op == '+' || op == '-') + return 1; + if (op == '*' || op == '/') + return 2; + if (op == '^') + return 3; + throw std::runtime_error{string{"表达中包含无效的运算符"} + op}; +} +// 计算 +double execute(std::stack &ops, std::stack &operands) { + double result; + double rhs=operands.top(); // 得到右操作数 + operands.pop(); + double lhs=operands.top(); // 得到左操作数 + operands.pop(); + switch (ops.top()) // 根据两个操作数之间的运算符,执行相应计算 + { + case '+': + result = lhs + rhs; + break; + case '-': + result = lhs - rhs; + break; + case '*': + result = lhs * rhs; + break; + case '/': + result = lhs / rhs; + break; + case '^': + result = std::pow(lhs, rhs); + break; + default: + throw std::runtime_error{string{"invalid operator: "} + ops.top()}; + } + ops.pop(); //计算完成后,该运算符要弹栈 + operands.push(result); //将新计算出来的结果入栈 + return result; +} +double cal(string exp) { + static std::stack operands; //数值 + static std::stack operators; //运算符 + + size_t index{}; //位数 + + operands.push(std::stod(exp, &index)); // 将表达式中第一个数字进栈 + while (true) { + operators.push(exp[index++]); // 将运算符进栈 + size_t i{}; + operands.push( + std::stod(exp.substr(index), + &i)); //将运算符后的数字也进栈,并将数字的位数赋值给 i。 + index += i; //更新 index + if (index == exp.length()) { + while (!operators.empty()) //如果 operators不为空,表示还没有计算完 + execute(operators, operands); + break; + } + //如果表达式还未遍历完,但子表达式中的运算符优先级比其后面的运算符优先级大,就先计算当前的子表达式的值 + while (!operators.empty() && + precedence(exp[index]) <= precedence(operators.top())) + execute(operators, operands); + } + return operands.top(); +} diff --git a/SoftwareDesign/Code/Lab2-3_Calculator4490_v3/cal.h b/SoftwareDesign/Code/Lab2-3_Calculator4490_v3/cal.h new file mode 100644 index 0000000..06dde1d --- /dev/null +++ b/SoftwareDesign/Code/Lab2-3_Calculator4490_v3/cal.h @@ -0,0 +1,5 @@ +#ifndef CAL_H +#define CAL_H +#include +double cal(std::string exp); +#endif // CAL_H diff --git a/SoftwareDesign/Code/Lab2-3_Calculator4490_v3/main.cpp b/SoftwareDesign/Code/Lab2-3_Calculator4490_v3/main.cpp new file mode 100644 index 0000000..fd3e533 --- /dev/null +++ b/SoftwareDesign/Code/Lab2-3_Calculator4490_v3/main.cpp @@ -0,0 +1,11 @@ +#include "mainwindow.h" + +#include + +int main(int argc, char *argv[]) +{ + QApplication a(argc, argv); + MainWindow w; + w.show(); + return a.exec(); +} diff --git a/SoftwareDesign/Code/Lab2-3_Calculator4490_v3/mainwindow.cpp b/SoftwareDesign/Code/Lab2-3_Calculator4490_v3/mainwindow.cpp new file mode 100644 index 0000000..f3fca90 --- /dev/null +++ b/SoftwareDesign/Code/Lab2-3_Calculator4490_v3/mainwindow.cpp @@ -0,0 +1,160 @@ +#include "mainwindow.h" +#include "cal.h" +#include "ui_mainwindow.h" +#include + +static QString displayText; + +MainWindow::MainWindow(QWidget *parent) + : QMainWindow(parent), ui(new Ui::MainWindow) { + ui->setupUi(this); + ui->lineEdit->setText("0"); + result = 0.0; + waitForOperand = true; + + connectSlots(); +} + +MainWindow::~MainWindow() { delete ui; } + +//错误处理 +void MainWindow::abortOperation() { + result = 0.0; + pendingOperator.clear(); + ui->lineEdit->setText("0"); + isFinished = false; + QMessageBox::warning(this, "运算错误", "算式不合法"); +} + +//数字被点击 +void MainWindow::digitClicked() { + QPushButton *digitBtn = static_cast(sender()); + // if(ui->lineEdit->text() == "0" && value == "0") + // return; + if (!isFinished) { + displayText.append(digitBtn->text()); + ui->lineEdit->setText(displayText); + } else { + return; + } +} + +void MainWindow::on_clearBtn_clicked() { + //将当前显示的数归零 + displayText.chop(1); + ui->lineEdit->setText(displayText); + isFinished = false; +} + +void MainWindow::on_clearAllBtn_clicked() { + //将当前显示的数据归零,并将之前保存的数据运算清除 + displayText.clear(); + ui->lineEdit->setText(displayText); + isFinished = false; + result = 0.0; +} + +void MainWindow::on_equalBtn_clicked() { + try { + result = cal(displayText.toStdString()); + } + + catch (...) { + abortOperation(); + } + isFinished = 1; + ui->lineEdit->setText(QString::number(result)); +} + +//运算符 +void MainWindow::operatorClicked() { + QPushButton *clickedBtn = qobject_cast(sender()); + if (!isFinished) { + displayText.append(clickedBtn->text()); + ui->lineEdit->setText(displayText); + } else { + return; + } +} + +//槽链接 +void MainWindow::connectSlots() { + + QPushButton *digitBtns[10] = {ui->digitBtn0, ui->digitBtn1, ui->digitBtn2, + ui->digitBtn3, ui->digitBtn4, ui->digitBtn5, + ui->digitBtn6, ui->digitBtn7, ui->digitBtn8, + ui->digitBtn9}; + for (auto btn : digitBtns) + connect(btn, &QPushButton::clicked, this, &MainWindow::digitClicked); + QPushButton *operatorBtns[6] = {ui->addBtn, ui->subtractionBtn, + ui->mulBtn, ui->divisionBtn, + ui->squareBtn, ui->pointBtn}; + for (auto btn : operatorBtns) + connect(btn, &QPushButton::clicked, this, &MainWindow::operatorClicked); +} + +void MainWindow::keyPressEvent(QKeyEvent *event) { + switch (event->key()) { + case Qt::Key_0: + emit ui->digitBtn0->clicked(); + break; + case Qt::Key_1: + emit ui->digitBtn1->clicked(); + break; + case Qt::Key_2: + emit ui->digitBtn2->clicked(); + break; + case Qt::Key_3: + emit ui->digitBtn3->clicked(); + break; + case Qt::Key_4: + emit ui->digitBtn4->clicked(); + break; + case Qt::Key_5: + emit ui->digitBtn5->clicked(); + break; + case Qt::Key_6: + emit ui->digitBtn6->clicked(); + break; + case Qt::Key_7: + emit ui->digitBtn7->clicked(); + break; + case Qt::Key_8: + emit ui->digitBtn8->clicked(); + break; + case Qt::Key_9: + emit ui->digitBtn9->clicked(); + break; + case Qt::Key_Plus: + emit ui->addBtn->clicked(); + break; + case Qt::Key_Minus: + emit ui->subtractionBtn->clicked(); + break; + case Qt::Key_Asterisk: + emit ui->mulBtn->clicked(); + break; + case Qt::Key_Slash: + emit ui->divisionBtn->clicked(); + break; + case Qt::Key_Period: + emit ui->pointBtn->clicked(); + break; + case Qt::Key_Equal: + emit ui->equalBtn->clicked(); + case Qt::Key_Backspace: + emit ui->clearBtn->clicked(); + break; + case Qt::Key_Delete: + emit ui->clearAllBtn->clicked(); + break; + case Qt::Key_Enter: + emit ui->equalBtn->clicked(); + break; + case Qt::Key_Escape: + emit ui->clearAllBtn->clicked(); + break; + default: + break; + } +} diff --git a/SoftwareDesign/Code/Lab2-3_Calculator4490_v3/mainwindow.h b/SoftwareDesign/Code/Lab2-3_Calculator4490_v3/mainwindow.h new file mode 100644 index 0000000..84efef0 --- /dev/null +++ b/SoftwareDesign/Code/Lab2-3_Calculator4490_v3/mainwindow.h @@ -0,0 +1,48 @@ +#ifndef MAINWINDOW_H +#define MAINWINDOW_H + +#include +#include +QT_BEGIN_NAMESPACE +namespace Ui { +class MainWindow; +} +QT_END_NAMESPACE + +class MainWindow : public QMainWindow { + Q_OBJECT + +public: + MainWindow(QWidget *parent = nullptr); + ~MainWindow(); + +private: + Ui::MainWindow *ui; + + void keyPressEvent(QKeyEvent *e); + + //终止运算,清除数据,报错 + void abortOperation(); + //连接信号和槽 + void connectSlots(); + //储存运算符 + QString pendingOperator; + //储存运算结果 + double result; + //标记是否等待一个操作数 + bool waitForOperand; + + bool isFinished; + +private slots: + void on_clearBtn_clicked(); + + void on_clearAllBtn_clicked(); + + void on_equalBtn_clicked(); + + void digitClicked(); + + void operatorClicked(); +}; +#endif // MAINWINDOW_H diff --git a/SoftwareDesign/Code/Lab2-3_Calculator4490_v3/mainwindow.ui b/SoftwareDesign/Code/Lab2-3_Calculator4490_v3/mainwindow.ui new file mode 100644 index 0000000..f0e35e6 --- /dev/null +++ b/SoftwareDesign/Code/Lab2-3_Calculator4490_v3/mainwindow.ui @@ -0,0 +1,180 @@ + + + MainWindow + + + + 0 + 0 + 405 + 320 + + + + Calculator_v3_4490 + + + Qt::ToolButtonTextOnly + + + + + + + 5 + + + + + + + / + + + + + + + 3 + + + + + + + 4 + + + + + + + * + + + + + + + 2 + + + + + + + Back + + + + + + + ClearAll + + + + + + + . + + + + + + + 0 + + + + + + + = + + + + + + + 1 + + + + + + + 6 + + + + + + + - + + + + + + + 7 + + + + + + + Qt::AlignRight|Qt::AlignTrailing|Qt::AlignVCenter + + + true + + + + + + + 8 + + + + + + + 9 + + + + + + + + + + + + + + + ^ + + + + + + + + + 0 + 0 + 405 + 30 + + + + + + + + diff --git a/SoftwareDesign/Code/lab2-3-5_KeyEvent/mainwindow.cpp b/SoftwareDesign/Code/lab2-3-5_KeyEvent/mainwindow.cpp index fcc48fa..a4262f2 100644 --- a/SoftwareDesign/Code/lab2-3-5_KeyEvent/mainwindow.cpp +++ b/SoftwareDesign/Code/lab2-3-5_KeyEvent/mainwindow.cpp @@ -21,12 +21,12 @@ void MainWindow::keyPressEvent(QKeyEvent *event) { } void MainWindow::keyReleaseEvent(QKeyEvent *e) { if (e->key() == Qt::Key_Up) { - ui->l->move(ui->l->pos().x(), ui->l->pos().y() - 20); - } else if (e->key() == Qt::Key_Down) { ui->l->move(ui->l->pos().x(), ui->l->pos().y() + 20); + } else if (e->key() == Qt::Key_Down) { + ui->l->move(ui->l->pos().x(), ui->l->pos().y() - 20); } else if (e->key() == Qt::Key_Left) { - ui->l->move(ui->l->pos().x() - 20, ui->l->pos().y()); - } else if (e->key() == Qt::Key_Right) { ui->l->move(ui->l->pos().x() + 20, ui->l->pos().y()); + } else if (e->key() == Qt::Key_Right) { + ui->l->move(ui->l->pos().x() - 20, ui->l->pos().y()); } } From 02906bfce3c72dd18395afbce65331db5b20bb9e Mon Sep 17 00:00:00 2001 From: iridiumR Date: Tue, 19 Apr 2022 21:42:20 +0800 Subject: [PATCH 06/11] =?UTF-8?q?gitignore=E6=9B=B4=E6=96=B0?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit --- .gitignore | 1 + 1 file changed, 1 insertion(+) diff --git a/.gitignore b/.gitignore index d6581d3..4df7484 100644 --- a/.gitignore +++ b/.gitignore @@ -113,3 +113,4 @@ Thumbs.db **/output_files/** PLLJ_PLLSPE_INFO.txt *.qws +*.qdb From 1e3bfa0c620892f2d08a96ce8c5fcd757807429e Mon Sep 17 00:00:00 2001 From: iridiumR Date: Tue, 19 Apr 2022 21:42:43 +0800 Subject: [PATCH 07/11] =?UTF-8?q?=E6=95=B0=E7=94=B5=E5=AE=9E=E9=AA=8C5?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit --- Quartus/v5/jyh_4490_5.qpf | 31 ++ Quartus/v5/jyh_4490_5.qsf | 57 +++ Quartus/v5/jyh_4490_5_divider.v | 42 ++ Quartus/v5/jyh_4490_5_testbench.v | 24 + .../v5_testbench/jyh_4490_5_testbench.cr.mti | 8 + Quartus/v5_testbench/jyh_4490_5_testbench.mpf | 469 ++++++++++++++++++ Quartus/v5_testbench/transcript | 13 + Quartus/v5_testbench/vsim.wlf | Bin 0 -> 1384448 bytes Quartus/v5_testbench/work/_info | 57 +++ Quartus/v5_testbench/work/_lib1_0.qpg | Bin 0 -> 8192 bytes Quartus/v5_testbench/work/_lib1_0.qtl | Bin 0 -> 71397 bytes Quartus/v5_testbench/work/_vmake | 4 + 12 files changed, 705 insertions(+) create mode 100644 Quartus/v5/jyh_4490_5.qpf create mode 100644 Quartus/v5/jyh_4490_5.qsf create mode 100644 Quartus/v5/jyh_4490_5_divider.v create mode 100644 Quartus/v5/jyh_4490_5_testbench.v create mode 100644 Quartus/v5_testbench/jyh_4490_5_testbench.cr.mti create mode 100644 Quartus/v5_testbench/jyh_4490_5_testbench.mpf create mode 100644 Quartus/v5_testbench/transcript create mode 100644 Quartus/v5_testbench/vsim.wlf create mode 100644 Quartus/v5_testbench/work/_info create mode 100644 Quartus/v5_testbench/work/_lib1_0.qpg create mode 100644 Quartus/v5_testbench/work/_lib1_0.qtl create mode 100644 Quartus/v5_testbench/work/_vmake diff --git a/Quartus/v5/jyh_4490_5.qpf b/Quartus/v5/jyh_4490_5.qpf new file mode 100644 index 0000000..959f226 --- /dev/null +++ b/Quartus/v5/jyh_4490_5.qpf @@ -0,0 +1,31 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 2021 Intel Corporation. All rights reserved. +# Your use of Intel Corporation's design tools, logic functions +# and other software and tools, and any partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Intel Program License +# Subscription Agreement, the Intel Quartus Prime License Agreement, +# the Intel FPGA IP License Agreement, or other applicable license +# agreement, including, without limitation, that your use is for +# the sole purpose of programming logic devices manufactured by +# Intel and sold by Intel or its authorized distributors. Please +# refer to the applicable agreement for further details, at +# https://fpgasoftware.intel.com/eula. +# +# -------------------------------------------------------------------------- # +# +# Quartus Prime +# Version 21.1.0 Build 842 10/21/2021 SJ Lite Edition +# Date created = 19:50:40 四月 19, 2022 +# +# -------------------------------------------------------------------------- # + +QUARTUS_VERSION = "21.1" +DATE = "19:50:40 四月 19, 2022" + +# Revisions + +PROJECT_REVISION = "jyh_4490_5" diff --git a/Quartus/v5/jyh_4490_5.qsf b/Quartus/v5/jyh_4490_5.qsf new file mode 100644 index 0000000..ea16f00 --- /dev/null +++ b/Quartus/v5/jyh_4490_5.qsf @@ -0,0 +1,57 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 2021 Intel Corporation. All rights reserved. +# Your use of Intel Corporation's design tools, logic functions +# and other software and tools, and any partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Intel Program License +# Subscription Agreement, the Intel Quartus Prime License Agreement, +# the Intel FPGA IP License Agreement, or other applicable license +# agreement, including, without limitation, that your use is for +# the sole purpose of programming logic devices manufactured by +# Intel and sold by Intel or its authorized distributors. Please +# refer to the applicable agreement for further details, at +# https://fpgasoftware.intel.com/eula. +# +# -------------------------------------------------------------------------- # +# +# Quartus Prime +# Version 21.1.0 Build 842 10/21/2021 SJ Lite Edition +# Date created = 19:50:40 四月 19, 2022 +# +# -------------------------------------------------------------------------- # +# +# Notes: +# +# 1) The default values for assignments are stored in the file: +# jyh_4490_5_assignment_defaults.qdf +# If this file doesn't exist, see file: +# assignment_defaults.qdf +# +# 2) Intel recommends that you do not modify this file. This +# file is updated automatically by the Quartus Prime software +# and any changes you make may be lost or overwritten. +# +# -------------------------------------------------------------------------- # + + +set_global_assignment -name FAMILY "Cyclone IV E" +set_global_assignment -name DEVICE EP4CE6E22C8 +set_global_assignment -name TOP_LEVEL_ENTITY jyh_4490_5_divider +set_global_assignment -name ORIGINAL_QUARTUS_VERSION 21.1.0 +set_global_assignment -name PROJECT_CREATION_TIME_DATE "19:50:40 四月 19, 2022" +set_global_assignment -name LAST_QUARTUS_VERSION "21.1.0 Lite Edition" +set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files +set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 +set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 +set_global_assignment -name DEVICE_FILTER_PIN_COUNT 144 +set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1 +set_global_assignment -name NOMINAL_CORE_SUPPLY_VOLTAGE 1.2V +set_global_assignment -name VERILOG_FILE jyh_4490_5_divider.v +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top +set_global_assignment -name VERILOG_FILE jyh_4490_5_testbench.v +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/Quartus/v5/jyh_4490_5_divider.v b/Quartus/v5/jyh_4490_5_divider.v new file mode 100644 index 0000000..e1c7866 --- /dev/null +++ b/Quartus/v5/jyh_4490_5_divider.v @@ -0,0 +1,42 @@ +module jyh_4490_5_divider(clk_out,sel,clk,en); + input clk,sel,en; + output reg clk_out; + reg [14:0]counter=0; + localparam TARGET_4=2784; // ((1/4490)/(1/50M))/4 + localparam TARGET_5=863; // ((1/14490)/(1/50M))/4 + + + initial begin + clk_out=0; + end + always @(posedge clk) + if(en) + begin + counter<=counter+1; + if(sel) + begin + if(counter==TARGET_5) + begin + clk_out<=0; + end + if(counter==4*TARGET_5) + begin + clk_out<=1; + counter<=0; + end + end + + else if(!sel) + begin + if(counter==TARGET_4) + begin + clk_out<=0; + end + if(counter==4*TARGET_4) + begin + clk_out<=1; + counter<=0; + end + end + end +endmodule \ No newline at end of file diff --git a/Quartus/v5/jyh_4490_5_testbench.v b/Quartus/v5/jyh_4490_5_testbench.v new file mode 100644 index 0000000..09d718e --- /dev/null +++ b/Quartus/v5/jyh_4490_5_testbench.v @@ -0,0 +1,24 @@ +`timescale 1ns/1ns +module jyh_4490_5_testbench; +reg clk; +reg en; +wire clk_out; +reg sel; + +initial begin + clk=0; + sel=0; + en=0; + #100 + en=1; +end + +always#10 clk=~clk; +always#50000000 sel=~sel; +jyh_4490_5_divider D1( +.en(en), +.sel(sel), +.clk_out(clk_out), +.clk(clk) +); +endmodule \ No newline at end of file diff --git a/Quartus/v5_testbench/jyh_4490_5_testbench.cr.mti b/Quartus/v5_testbench/jyh_4490_5_testbench.cr.mti new file mode 100644 index 0000000..36ee881 --- /dev/null +++ b/Quartus/v5_testbench/jyh_4490_5_testbench.cr.mti @@ -0,0 +1,8 @@ +/home/ir/Documents/codelib/Quartus/v5/jyh_4490_5_divider.v {1 {vlog -work work -stats=none /home/ir/Documents/codelib/Quartus/v5/jyh_4490_5_divider.v +Model Technology ModelSim - Intel FPGA Edition vlog 2020.1 Compiler 2020.02 Feb 28 2020 +-- Compiling module jyh_4490_5_divider + +Top level modules: + jyh_4490_5_divider + +} {} {}} diff --git a/Quartus/v5_testbench/jyh_4490_5_testbench.mpf b/Quartus/v5_testbench/jyh_4490_5_testbench.mpf new file mode 100644 index 0000000..37794f1 --- /dev/null +++ b/Quartus/v5_testbench/jyh_4490_5_testbench.mpf @@ -0,0 +1,469 @@ +; Copyright 1991-2009 Mentor Graphics Corporation +; +; All Rights Reserved. +; +; THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION WHICH IS THE PROPERTY OF +; MENTOR GRAPHICS CORPORATION OR ITS LICENSORS AND IS SUBJECT TO LICENSE TERMS. +; + +[Library] +std = $MODEL_TECH/../std +ieee = $MODEL_TECH/../ieee +verilog = $MODEL_TECH/../verilog +vital2000 = $MODEL_TECH/../vital2000 +std_developerskit = $MODEL_TECH/../std_developerskit +synopsys = $MODEL_TECH/../synopsys +modelsim_lib = $MODEL_TECH/../modelsim_lib +sv_std = $MODEL_TECH/../sv_std + +; Altera Primitive libraries +; +; VHDL Section +; +altera_mf = $MODEL_TECH/../altera/vhdl/altera_mf +altera = $MODEL_TECH/../altera/vhdl/altera +altera_lnsim = $MODEL_TECH/../altera/vhdl/altera_lnsim +lpm = $MODEL_TECH/../altera/vhdl/220model +220model = $MODEL_TECH/../altera/vhdl/220model +maxii = $MODEL_TECH/../altera/vhdl/maxii +maxv = $MODEL_TECH/../altera/vhdl/maxv +fiftyfivenm = $MODEL_TECH/../altera/vhdl/fiftyfivenm +sgate = $MODEL_TECH/../altera/vhdl/sgate +arriaii = $MODEL_TECH/../altera/vhdl/arriaii +arriaii_hssi = $MODEL_TECH/../altera/vhdl/arriaii_hssi +arriaii_pcie_hip = $MODEL_TECH/../altera/vhdl/arriaii_pcie_hip +arriaiigz = $MODEL_TECH/../altera/vhdl/arriaiigz +arriaiigz_hssi = $MODEL_TECH/../altera/vhdl/arriaiigz_hssi +arriaiigz_pcie_hip = $MODEL_TECH/../altera/vhdl/arriaiigz_pcie_hip +stratixiv = $MODEL_TECH/../altera/vhdl/stratixiv +stratixiv_hssi = $MODEL_TECH/../altera/vhdl/stratixiv_hssi +stratixiv_pcie_hip = $MODEL_TECH/../altera/vhdl/stratixiv_pcie_hip +cycloneiv = $MODEL_TECH/../altera/vhdl/cycloneiv +cycloneiv_hssi = $MODEL_TECH/../altera/vhdl/cycloneiv_hssi +cycloneiv_pcie_hip = $MODEL_TECH/../altera/vhdl/cycloneiv_pcie_hip +cycloneive = $MODEL_TECH/../altera/vhdl/cycloneive +stratixv = $MODEL_TECH/../altera/vhdl/stratixv +stratixv_hssi = $MODEL_TECH/../altera/vhdl/stratixv_hssi +stratixv_pcie_hip = $MODEL_TECH/../altera/vhdl/stratixv_pcie_hip +arriavgz = $MODEL_TECH/../altera/vhdl/arriavgz +arriavgz_hssi = $MODEL_TECH/../altera/vhdl/arriavgz_hssi +arriavgz_pcie_hip = $MODEL_TECH/../altera/vhdl/arriavgz_pcie_hip +arriav = $MODEL_TECH/../altera/vhdl/arriav +cyclonev = $MODEL_TECH/../altera/vhdl/cyclonev +twentynm = $MODEL_TECH/../altera/vhdl/twentynm +twentynm_hssi = $MODEL_TECH/../altera/vhdl/twentynm_hssi +twentynm_hip = $MODEL_TECH/../altera/vhdl/twentynm_hip +cyclone10lp = $MODEL_TECH/../altera/vhdl/cyclone10lp +; +; Verilog Section +; +altera_mf_ver = $MODEL_TECH/../altera/verilog/altera_mf +altera_ver = $MODEL_TECH/../altera/verilog/altera +altera_lnsim_ver = $MODEL_TECH/../altera/verilog/altera_lnsim +lpm_ver = $MODEL_TECH/../altera/verilog/220model +220model_ver = $MODEL_TECH/../altera/verilog/220model +maxii_ver = $MODEL_TECH/../altera/verilog/maxii +maxv_ver = $MODEL_TECH/../altera/verilog/maxv +fiftyfivenm_ver = $MODEL_TECH/../altera/verilog/fiftyfivenm +sgate_ver = $MODEL_TECH/../altera/verilog/sgate +arriaii_ver = $MODEL_TECH/../altera/verilog/arriaii +arriaii_hssi_ver = $MODEL_TECH/../altera/verilog/arriaii_hssi +arriaii_pcie_hip_ver = $MODEL_TECH/../altera/verilog/arriaii_pcie_hip +arriaiigz_ver = $MODEL_TECH/../altera/verilog/arriaiigz +arriaiigz_hssi_ver = $MODEL_TECH/../altera/verilog/arriaiigz_hssi +arriaiigz_pcie_hip_ver = $MODEL_TECH/../altera/verilog/arriaiigz_pcie_hip +stratixiv_ver = $MODEL_TECH/../altera/verilog/stratixiv +stratixiv_hssi_ver = $MODEL_TECH/../altera/verilog/stratixiv_hssi +stratixiv_pcie_hip_ver = $MODEL_TECH/../altera/verilog/stratixiv_pcie_hip +stratixv_ver = $MODEL_TECH/../altera/verilog/stratixv +stratixv_hssi_ver = $MODEL_TECH/../altera/verilog/stratixv_hssi +stratixv_pcie_hip_ver = $MODEL_TECH/../altera/verilog/stratixv_pcie_hip +arriavgz_ver = $MODEL_TECH/../altera/verilog/arriavgz +arriavgz_hssi_ver = $MODEL_TECH/../altera/verilog/arriavgz_hssi +arriavgz_pcie_hip_ver = $MODEL_TECH/../altera/verilog/arriavgz_pcie_hip +arriav_ver = $MODEL_TECH/../altera/verilog/arriav +arriav_hssi_ver = $MODEL_TECH/../altera/verilog/arriav_hssi +arriav_pcie_hip_ver = $MODEL_TECH/../altera/verilog/arriav_pcie_hip +cyclonev_ver = $MODEL_TECH/../altera/verilog/cyclonev +cyclonev_hssi_ver = $MODEL_TECH/../altera/verilog/cyclonev_hssi +cyclonev_pcie_hip_ver = $MODEL_TECH/../altera/verilog/cyclonev_pcie_hip +cycloneiv_ver = $MODEL_TECH/../altera/verilog/cycloneiv +cycloneiv_hssi_ver = $MODEL_TECH/../altera/verilog/cycloneiv_hssi +cycloneiv_pcie_hip_ver = $MODEL_TECH/../altera/verilog/cycloneiv_pcie_hip +cycloneive_ver = $MODEL_TECH/../altera/verilog/cycloneive +twentynm_ver = $MODEL_TECH/../altera/verilog/twentynm +twentynm_hssi_ver = $MODEL_TECH/../altera/verilog/twentynm_hssi +twentynm_hip_ver = $MODEL_TECH/../altera/verilog/twentynm_hip +cyclone10lp_ver = $MODEL_TECH/../altera/verilog/cyclone10lp + +work = work +[vcom] +; VHDL93 variable selects language version as the default. +; Default is VHDL-2002. +; Value of 0 or 1987 for VHDL-1987. +; Value of 1 or 1993 for VHDL-1993. +; Default or value of 2 or 2002 for VHDL-2002. +; Default or value of 3 or 2008 for VHDL-2008. +VHDL93 = 2002 + +; Show source line containing error. Default is off. +; Show_source = 1 + +; Turn off unbound-component warnings. Default is on. +; Show_Warning1 = 0 + +; Turn off process-without-a-wait-statement warnings. Default is on. +; Show_Warning2 = 0 + +; Turn off null-range warnings. Default is on. +; Show_Warning3 = 0 + +; Turn off no-space-in-time-literal warnings. Default is on. +; Show_Warning4 = 0 + +; Turn off multiple-drivers-on-unresolved-signal warnings. Default is on. +; Show_Warning5 = 0 + +; Turn off optimization for IEEE std_logic_1164 package. Default is on. +; Optimize_1164 = 0 + +; Turn on resolving of ambiguous function overloading in favor of the +; "explicit" function declaration (not the one automatically created by +; the compiler for each type declaration). Default is off. +; The .ini file has Explicit enabled so that std_logic_signed/unsigned +; will match the behavior of synthesis tools. +Explicit = 1 + +; Turn off acceleration of the VITAL packages. Default is to accelerate. +; NoVital = 1 + +; Turn off VITAL compliance checking. Default is checking on. +; NoVitalCheck = 1 + +; Ignore VITAL compliance checking errors. Default is to not ignore. +; IgnoreVitalErrors = 1 + +; Turn off VITAL compliance checking warnings. Default is to show warnings. +; Show_VitalChecksWarnings = 0 + +; Keep silent about case statement static warnings. +; Default is to give a warning. +; NoCaseStaticError = 1 + +; Keep silent about warnings caused by aggregates that are not locally static. +; Default is to give a warning. +; NoOthersStaticError = 1 + +; Turn off inclusion of debugging info within design units. +; Default is to include debugging info. +; NoDebug = 1 + +; Turn off "Loading..." messages. Default is messages on. +; Quiet = 1 + +; Turn on some limited synthesis rule compliance checking. Checks only: +; -- signals used (read) by a process must be in the sensitivity list +; CheckSynthesis = 1 + +; Activate optimizations on expressions that do not involve signals, +; waits, or function/procedure/task invocations. Default is off. +; ScalarOpts = 1 + +; Require the user to specify a configuration for all bindings, +; and do not generate a compile time default binding for the +; component. This will result in an elaboration error of +; 'component not bound' if the user fails to do so. Avoids the rare +; issue of a false dependency upon the unused default binding. +; RequireConfigForAllDefaultBinding = 1 + +; Inhibit range checking on subscripts of arrays. Range checking on +; scalars defined with subtypes is inhibited by default. +; NoIndexCheck = 1 + +; Inhibit range checks on all (implicit and explicit) assignments to +; scalar objects defined with subtypes. +; NoRangeCheck = 1 + +[vlog] + +; Turn off inclusion of debugging info within design units. +; Default is to include debugging info. +; NoDebug = 1 + +; Turn off "loading..." messages. Default is messages on. +; Quiet = 1 + +; Turn on Verilog hazard checking (order-dependent accessing of global vars). +; Default is off. +; Hazard = 1 + +; Turn on converting regular Verilog identifiers to uppercase. Allows case +; insensitivity for module names. Default is no conversion. +; UpCase = 1 + +; Turn on incremental compilation of modules. Default is off. +; Incremental = 1 + +; Turns on lint-style checking. +; Show_Lint = 1 + +[vsim] +; Simulator resolution +; Set to fs, ps, ns, us, ms, or sec with optional prefix of 1, 10, or 100. +Resolution = ps + +; User time unit for run commands +; Set to default, fs, ps, ns, us, ms, or sec. The default is to use the +; unit specified for Resolution. For example, if Resolution is 100ps, +; then UserTimeUnit defaults to ps. +; Should generally be set to default. +UserTimeUnit = default + +; Default run length +RunLength = 100 sec + +; Maximum iterations that can be run without advancing simulation time +IterationLimit = 5000 + +; Directive to license manager: +; vhdl Immediately reserve a VHDL license +; vlog Immediately reserve a Verilog license +; plus Immediately reserve a VHDL and Verilog license +; nomgc Do not look for Mentor Graphics Licenses +; nomti Do not look for Model Technology Licenses +; noqueue Do not wait in the license queue when a license isn't available +; viewsim Try for viewer license but accept simulator license(s) instead +; of queuing for viewer license +; License = plus + +; Stop the simulator after a VHDL/Verilog assertion message +; 0 = Note 1 = Warning 2 = Error 3 = Failure 4 = Fatal +BreakOnAssertion = 3 + +; Assertion Message Format +; %S - Severity Level +; %R - Report Message +; %T - Time of assertion +; %D - Delta +; %I - Instance or Region pathname (if available) +; %% - print '%' character +; AssertionFormat = "** %S: %R\n Time: %T Iteration: %D%I\n" + +; Assertion File - alternate file for storing VHDL/Verilog assertion messages +; AssertFile = assert.log + +; Default radix for all windows and commands... +; Set to symbolic, ascii, binary, octal, decimal, hex, unsigned +DefaultRadix = symbolic + +; VSIM Startup command +; Startup = do startup.do + +; File for saving command transcript +TranscriptFile = transcript + +; File for saving command history +; CommandHistory = cmdhist.log + +; Specify whether paths in simulator commands should be described +; in VHDL or Verilog format. +; For VHDL, PathSeparator = / +; For Verilog, PathSeparator = . +; Must not be the same character as DatasetSeparator. +PathSeparator = / + +; Specify the dataset separator for fully rooted contexts. +; The default is ':'. For example, sim:/top +; Must not be the same character as PathSeparator. +DatasetSeparator = : + +; Disable VHDL assertion messages +; IgnoreNote = 1 +; IgnoreWarning = 1 +; IgnoreError = 1 +; IgnoreFailure = 1 + +; Default force kind. May be freeze, drive, deposit, or default +; or in other terms, fixed, wired, or charged. +; A value of "default" will use the signal kind to determine the +; force kind, drive for resolved signals, freeze for unresolved signals +; DefaultForceKind = freeze + +; If zero, open files when elaborated; otherwise, open files on +; first read or write. Default is 0. +; DelayFileOpen = 1 + +; Control VHDL files opened for write. +; 0 = Buffered, 1 = Unbuffered +UnbufferedOutput = 0 + +; Control the number of VHDL files open concurrently. +; This number should always be less than the current ulimit +; setting for max file descriptors. +; 0 = unlimited +ConcurrentFileLimit = 40 + +; Control the number of hierarchical regions displayed as +; part of a signal name shown in the Wave window. +; A value of zero tells VSIM to display the full name. +; The default is 0. +; WaveSignalNameWidth = 0 + +; Turn off warnings from the std_logic_arith, std_logic_unsigned +; and std_logic_signed packages. +; StdArithNoWarnings = 1 + +; Turn off warnings from the IEEE numeric_std and numeric_bit packages. +; NumericStdNoWarnings = 1 + +; Control the format of the (VHDL) FOR generate statement label +; for each iteration. Do not quote it. +; The format string here must contain the conversion codes %s and %d, +; in that order, and no other conversion codes. The %s represents +; the generate_label; the %d represents the generate parameter value +; at a particular generate iteration (this is the position number if +; the generate parameter is of an enumeration type). Embedded whitespace +; is allowed (but discouraged); leading and trailing whitespace is ignored. +; Application of the format must result in a unique scope name over all +; such names in the design so that name lookup can function properly. +; GenerateFormat = %s__%d + +; Specify whether checkpoint files should be compressed. +; The default is 1 (compressed). +; CheckpointCompressMode = 0 + +; List of dynamically loaded objects for Verilog PLI applications +; Veriuser = veriuser.sl + +; Specify default options for the restart command. Options can be one +; or more of: -force -nobreakpoint -nolist -nolog -nowave +; DefaultRestartOptions = -force + +; HP-UX 10.20 ONLY - Enable memory locking to speed up large designs +; (> 500 megabyte memory footprint). Default is disabled. +; Specify number of megabytes to lock. +; LockedMemory = 1000 + +; Turn on (1) or off (0) WLF file compression. +; The default is 1 (compress WLF file). +; WLFCompress = 0 + +; Specify whether to save all design hierarchy (1) in the WLF file +; or only regions containing logged signals (0). +; The default is 0 (save only regions with logged signals). +; WLFSaveAllRegions = 1 + +; WLF file time limit. Limit WLF file by time, as closely as possible, +; to the specified amount of simulation time. When the limit is exceeded +; the earliest times get truncated from the file. +; If both time and size limits are specified the most restrictive is used. +; UserTimeUnits are used if time units are not specified. +; The default is 0 (no limit). Example: WLFTimeLimit = {100 ms} +; WLFTimeLimit = 0 + +; WLF file size limit. Limit WLF file size, as closely as possible, +; to the specified number of megabytes. If both time and size limits +; are specified then the most restrictive is used. +; The default is 0 (no limit). +; WLFSizeLimit = 1000 + +; Specify whether or not a WLF file should be deleted when the +; simulation ends. A value of 1 will cause the WLF file to be deleted. +; The default is 0 (do not delete WLF file when simulation ends). +; WLFDeleteOnQuit = 1 + +; Automatic SDF compilation +; Disables automatic compilation of SDF files in flows that support it. +; Default is on, uncomment to turn off. +; NoAutoSDFCompile = 1 + +[lmc] + +[msg_system] +suppress = 3116 +; Change a message severity or suppress a message. +; The format is: = [,...] +; Examples: +; note = 3009 +; warning = 3033 +; error = 3010,3016 +; fatal = 3016,3033 +; suppress = 3009,3016,3043 +; The command verror can be used to get the complete +; description of a message. + +; Control transcripting of elaboration/runtime messages. +; The default is to have messages appear in the transcript and +; recorded in the wlf file (messages that are recorded in the +; wlf file can be viewed in the MsgViewer). The other settings +; are to send messages only to the transcript or only to the +; wlf file. The valid values are +; both {default} +; tran {transcript only} +; wlf {wlf file only} +; msgmode = both +[Project] +** Warning: ; Warning -- Do not edit the project properties directly. +; Property names are dynamic in nature and property +; values have special syntax. Changing property data directly +; can result in a corrupt MPF file. All project properties +; can be modified through project window dialogs. +Project_Version = 6 +Project_DefaultLib = work +Project_SortMethod = unused +Project_Files_Count = 2 +Project_File_0 = /home/ir/Documents/codelib/Quartus/v5/jyh_4490_5_divider.v +Project_File_P_0 = cover_toggle 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 cover_branch 0 vlog_noload 0 folder {Top Level} last_compile 1650373713 cover_fsm 0 vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 cover_optlevel 3 vlog_hazard 0 vlog_showsource 0 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 0 dont_compile 0 cover_expr 0 cover_stmt 0 +Project_File_1 = /home/ir/Documents/codelib/Quartus/v5/jyh_4490_5_testbench.v +Project_File_P_1 = cover_toggle 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 folder {Top Level} last_compile 1650373396 cover_fsm 0 cover_branch 0 vlog_noload 0 vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 cover_optlevel 3 vlog_hazard 0 vlog_showsource 0 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 1 dont_compile 0 cover_expr 0 cover_stmt 0 +Project_Sim_Count = 1 +Project_Sim_0 = Simulation 1 +Project_Sim_P_0 = -L {} -Lf {} -sdf {} selected_du {} additional_dus work.jyh_4490_5_testbench folder {Top Level} ok 1 -t default timing default +plusarg {} -nofileshare 0 -sdfnowarn 0 -wlf {} OtherArgs {} -coverage 0 -sdfnoerror 0 -std_input {} -hazards 0 -noglitch 0 -absentisempty 0 +no_pulse_msg 0 -sc22 0 +pulse_r {} -assertfile {} -multisource_delay {} -vital2.2b 0 +notimingchecks 0 +pulse_e {} -std_output {} vopt_env 1 is_vopt_flow 0 Generics {} +Project_Folder_Count = 0 +Echo_Compile_Output = 0 +Save_Compile_Report = 1 +Project_Opt_Count = 0 +ForceSoftPaths = 0 +ProjectStatusDelay = 5000 +VERILOG_DoubleClick = Edit +VERILOG_CustomDoubleClick = +SYSTEMVERILOG_DoubleClick = Edit +SYSTEMVERILOG_CustomDoubleClick = +VHDL_DoubleClick = Edit +VHDL_CustomDoubleClick = +PSL_DoubleClick = Edit +PSL_CustomDoubleClick = +TEXT_DoubleClick = Edit +TEXT_CustomDoubleClick = +SYSTEMC_DoubleClick = Edit +SYSTEMC_CustomDoubleClick = +TCL_DoubleClick = Edit +TCL_CustomDoubleClick = +MACRO_DoubleClick = Edit +MACRO_CustomDoubleClick = +VCD_DoubleClick = Edit +VCD_CustomDoubleClick = +SDF_DoubleClick = Edit +SDF_CustomDoubleClick = +XML_DoubleClick = Edit +XML_CustomDoubleClick = +LOGFILE_DoubleClick = Edit +LOGFILE_CustomDoubleClick = +UCDB_DoubleClick = Edit +UCDB_CustomDoubleClick = +TDB_DoubleClick = Edit +TDB_CustomDoubleClick = +UPF_DoubleClick = Edit +UPF_CustomDoubleClick = +PCF_DoubleClick = Edit +PCF_CustomDoubleClick = +PROJECT_DoubleClick = Edit +PROJECT_CustomDoubleClick = +VRM_DoubleClick = Edit +VRM_CustomDoubleClick = +DEBUGDATABASE_DoubleClick = Edit +DEBUGDATABASE_CustomDoubleClick = +DEBUGARCHIVE_DoubleClick = Edit +DEBUGARCHIVE_CustomDoubleClick = +Project_Major_Version = 2020 +Project_Minor_Version = 1 diff --git a/Quartus/v5_testbench/transcript b/Quartus/v5_testbench/transcript new file mode 100644 index 0000000..46fe1b6 --- /dev/null +++ b/Quartus/v5_testbench/transcript @@ -0,0 +1,13 @@ +# Compile of jyh_4490_5_divider.v was successful. +vsim work.jyh_4490_5_testbench +# vsim work.jyh_4490_5_testbench +# Start time: 21:31:49 on Apr 19,2022 +# Loading work.jyh_4490_5_testbench +# Loading work.jyh_4490_5_divider +add wave -position end sim:/jyh_4490_5_testbench/clk +add wave -position end sim:/jyh_4490_5_testbench/en +add wave -position end sim:/jyh_4490_5_testbench/sel +add wave -position end sim:/jyh_4490_5_testbench/clk_out +run -continue +run -all +run diff --git a/Quartus/v5_testbench/vsim.wlf b/Quartus/v5_testbench/vsim.wlf new file mode 100644 index 0000000000000000000000000000000000000000..0238d88e1c42d6134aa56b6739a3bbfe3c9e7fe8 GIT binary patch literal 1384448 zcmeFa33wCL`UgDIm4HZHaAhg3C`+k=D1xBkcEtrH1f?a4;KHsDsz7PgQV0!k?+G~o(@dI9lD8lk-LfGxGcEZcggz4E=bc77bIK;Ts+=zT*pxy?|Luog_p9% zn_hZ);?q*D^mFW(&%evMsn1P)dgo_x>EZ%D9e;9MENWDdFuMBp<9WoGt|Uv+?=-6nhXQa)`9pP4ymUD^d1gR<^e^V^P* zok}@9eEx4#m10e4Afc7pPG%i1H>a-boFHGTPjGuJxqxapJ$KhZ3=S`kEE@S*8?pov1)4B0^lU|r& zdim+xNmIv9&P%_IOUvvvZR$%i261V+0R!>}^v&n`-*(%ev<|(db2G+GHO+YTg-P7_ zDNj!xKPWAS%bPKITHeUVAK~;PrjMKO@U%y{L1`Jio-@5LC?_qg*CcLW9yf0M%)BY4 zNt1F1rMKbpxJ5Y}*Y0XQYao~R{M5G<)AMHb%bW1Z^PI77-`n~a`x&7k(`SqwKjoP=&-b3m4{398O~#e{lWV!Gz8M7% zXAd8eS2XpdiJ6Z)#HT&}L3&17M!TVDm*jGtuE@yMU3LN2E0e!C{r+brP0YA0J2xXe zvrAgq_=QulJ7;7LEKN_JK7LYmm%Fkq=H6(V@oK4V=%v}*IAfb7OEW&mUY+*Z(2Ru{ z`~#bGItWxS!$)ZbsWDPNQyNHVAfqWB|katgYIyXiNEkZTNS1>s5fSC+z$MK2Ms8oZgK`URX-4af&XU! zc>2c=&|fdWOpZH>KL|iB1HaJ*bMdeL>2EB=%!G^tAb$fviOQF5BOva>k02$Yr+1x0 zib7E0%!1P65@{&RAd&%~yD)>}KKd8@ zAbDAhyXRmIf{0cCgn=0F`2@iP)TYZ)2zHP_r0xJ(jTszg{uq7`kZ#PF1DJzMq`Cmw ziy0iZu`T={pth?!{~L17643dDGs-XzLFeHOfJg?%ZQcXF5KxCzcU*%{5Y!%T0Q9Mpe%2FG z0?IjZ`XJ^Z=zP2Z&{2|bTO5!Q(1o3xLog3Pc){oO1bAyl_=SKjDp+_cK0yG{Du4!J z2FKOTgC7KRan;C5%t6ovcmp8R4}7*MZP)QgXVT~hx{y5MUSBDtcGMSPy7bB;M0W_f zC;{3nrH*PDblK>&TQCnngc6E7g&7=IcRBnZOqahuS+N4F4SdS3l2YdkND1hQhTBNv zBhw|)!?H(7%I9K2K%K5QO)LXJmtrn3%_Rxn?LJ5esPmJKqnL-F%kTz38%e@n^&dzH zsLOk=E44#G;Zt^)B>XiGKuSPe4?mKNdB}7H-f-MJA|%IO`x^X0K;5qB^f5j`(3N-t zpj$}7Ux)f4psSuZl8bo=>V!7{8b=boJL-*qu73CZzLB-Z#b@8l8x`R z9)2O9?kgSGLBJaA@`x%tNL`!+pu-=Jz29e+x2`;`&@VraR^#=z6>X&=`{N{TDz=K>3Cn%POw@v)o(a%UlJa-VltKNMpGRtppk4{kOA=_v#WLtt_o0)RhoIhg!*L7N zl7zo=G^C`s0Uh7{9rF;Bhc^J)PZItvtR(^6u76@0<{?PZG`HY1W^nvaES`V{F1zY} z%tBB;-f-L-k}CLn-iBWYXwbpnI(&kloA3reqe#Nv*A`L&8ho++R?I`t&3FT#a+2`( z4~LY1hKzV+80I0UFWvx%*bc`(pg6HRD{p-YpCG6o-T>&IB;g-KyCO_?9n9E{c?goa zH@7ecGdO;jV&g+E`tCB!LQsFa;kbo&kc5Bedq@e>J&(Uj%m+cF1^}8QfgZswMnLzz zGioj7A?P-|0nmFS;U8TMDFNO0{S}JF2at!{!mlLI@KZAAfeVlC#XMxX9dCdM9R&#f z_;c`+F#YAR&$?q4f(GIZ$GwTY1VAJ3+XVFB(wC277J|@=z~{}!NWzaqy%Er`fAq(q z2}r6s_hu<(aJ=Dj_(?zyUmz$}Fqk~#-n5dGe?l>pM}~hr1oN;sas$O3!3>UnvJ!q0 zrbpjiR)tvzx)X0Wt^!R2K%;+$p9D1gyHR~H3qg0`4S*!g^M&|30X=@frHV{<6AV}J zEJ^t>^)hJ0qdvt7r2fWLpe#__Q$`u2Ut)O_v#_{(@CJ(ef+YOY|AdqjH}b&C#C#BR zFL}sSoRmP%U_T_FQ91odDJ`&0O(_q@Xzmrlz<957{*~9f^fbBpGBx2 zP{D+&;3ol%dE^q(R0xt};TC5ghT|vJ!cPJ+F82Hzvk>$U-f-Mvsk`%&(Hj%cQ~N(u zm>wn=Zt+tj<)@TFNHyqZmth9S&rnqGT>E*yVitlP#~Y4& zOKND|R0O{ersp3TuBc!H!EkTQASpj{qYQds(IwL`51I6M156)~gnt?5Q-o>4UUwPh zA!sDt0O$Zo_*dSClz=9kSG5=O5M;m`0L4kd&k`Xepvl9Ye+u&uGzxDxZb?s)@N=*Q z2xv-0Kc%=Q$U|<)lM<+Sl?3qd&Gz-P%; zlJKP#ND1hr2j?nQP(U7XONin){x#G*0Zo7N!CUbeG8N(t$Gv?aN%+^NLP|h0{(eaY z<{@Yd-T-I_N%%6wMbB*Oo`ZP^!fp+px2KSVFMkSB!c_E^O2rB=6FzTOk%XUL11SN$ zyznW-3Z5npxwpTOKyTnIh=5-CrWfhBSlrkI=ywUU@Ff{ETNfUMc?fz2Z#Zu0btK{6 z{0>sWH0OaWlQ0iKCgP7mOzuZs}_zon#eljH`u zrJFE=!8SlV+nkgHj;#Y8(V;YUcLX|M$AJdQZC26a~@`J{8FWJzP8{U z(yj=4fjs2ik%lzBav=Opm|p+tiI?#if+pY%FiDL3vSsiK0nKZ3t{b~xnLOm)`Huv8*CB%z?0Jc(1!a;U0f6RU2FI^D2|o#_qWt?K zn1!Gh@diLEt1|EK34?pqD{Q z?(Mu1^RPI{FLBHIVg|>5h{Y17x99z$bOaN5$Ssr1m|x#V1}**aGrT8EGZUaCB;_|O zfRr#*X1??>=3#N@BjK~mNfQ3!c90U#vU~bojClxp8E*jeD@piI&~ylB`8;Xej-Xe_ zLvHyM63G0u3|g^kucCrk3DEr#Xk$Ma^lrw|GR#A!*?7Zo%cTnN)eGSlQrvq(hZD6R zNNO`~`8tGhyzMIZNkH$HU2+X(A*dK{faxD3;Wr^O0afk%XD7@<&|JLXxD`^uZ&v!< z>U683f)eTJirysUKkET0VOn$di!(3}nM&~nmO^%tH{-1%R-ZfC_ftPXaQ(`r;(~1wn7%4S=E~;s16I zqy%L7Tc1+QLy)9I?%nQ~!SP>gg`Wge&HbiW!JFhE_wGoN^1DzK1Y{jjr&vKn0wn2+ z|8j;5vX#C;bce+)N`O8kDZhKa4BE8g(H)qFpv8E@aqk`_3IEk4kdop)cBnZNjweju24~f-1>F?mbdI#~(mu z0{VPz&Byo*LCf%l%PlJMU@2`K?N;t%h_JOm|r z<@-`61mvn)saU~# z5~!+;1Uht72JH~Wp*o06@8b=}Roy}o{_tav5~eQ(ex4?S_S=KReDGURG2H4t66lxDWze_LYSIb_`iMN_R*#WD0mYAhH{hjhn1>+J zh@g04^c?>ywg8doAF~FdVhQLIyaAA%Bz#Z@DFJ`5LOvJtA8d5A3`|@=-?mw z+h86RXTck2LUa_+z$f>>PXemHZINP`l5KNqh;}*tKVxN(=N0`D{2nsl+6g{uULpzq zU#t;fI`nzB1(=5*E8YNTElK!rH%JNShu?$uV;+KRcq6|C;rJ$;nGsOKtrY(2_Ovg#e3+U7c=vYz3Fw8?x4c>5RJZVgh7jxk^ z0{Um|!=3OEg0|uffbNpgTpOeW^smSjiWSsK56NH00{ANQ=LGcQEyvHpXUHT8oW@H% zIqhn_3_3n@Be5|Ap}Asld!_Umv@62&)7D9fo+M*Sc*CWo zb(hlXvB3$Gzu#%a3bsoR(?&|^4LLF>VEU>Q^NEi#o!>5X?lN|=7FsThiR2y)^L zfD&!k1DzTHod`cT3LhcJg*N~?Dy6+{g_M9o{VrUKc?jBpH}Ic=qY@$Qjl(Sgot)9Q z60;D5ix~tBl+wI!Atj*SwrtGBJOq7#HvpO-rTJGtN}l)b!ck&ci%pN-$(xg&ADh zE$AKzQ{=xDq%sJ?z7G#G9+gtE%SS+e-25QPL(o^!!;HC7dK=b~fTGjeEx|kl;rtt! zNKLr30Ur2`fMTC|e#J)!`UYj8YiVgDj-EBp4)svQNe!cVWu=Frrn9QPC)!` zpDR}IZ347IO79*ogVOWoDKZ^MfPzvwRQ6AN#*2R`#XOYhJG|l2vN}oWy*EKhip$)r z`yKNT^bfoN5V{;l@3X^C0?Io1?Iz4Z(D!%)pqHiefi92|P@6tg=V2a#4&n`fHc07T zDj_8x-L&V44iV&*9%d!L4<404Z8zOO@(@%nfwI%2^r5?DP`l92KVu$(u+^cs>^@TZ zFg67#u6^F8*I*uk4&e=e#*h?m6Bpb)#lZ{xF7Q_WpK{(~?fIOZ_>UWvJ(eE<**wu5 zzCVAwKZQ<*N1PTdy_(aYGtLQ(bvS$OEC!u3D!YJ^8(--&a%Z=M#&`P+n)C{#mcUKx z1YhzqXq+W5a?_IF+kOV!Hi713ebZ=s?a!dwF=$mS@%=x8CKh=nxiJucLAP&-8zTZ3 z^!W*Nvvz`^0Sp>V@Qgaa7y$;I!=P2Q#9#pieL+iTj2d9j7bein>Knrc81zMIG~MzT zN5G&jW+Z{WL$@Uc6fo$H3|du7j4WW#moSp3&=_LCpf6>(RlOKv4H)!g47W;d3_4)Y zmosRUBp7|bpmA7Ew!8|BVF(QR%9gk>9)UpZY+GVr0)y_%aI0#G5ef_%Jv+)R zajWFUPz46vwIwvhEHLQY1X}7lz)f2f1}`vZoV+JBsA@}$Vqnl$snN6zVmJeXzB++! zww4&zz@W)!awdO*0S*lMTD2r}Eiux8L0_jv({f|T1B1Svkz3W482iAWyEEJ>ePa*= zgT8^`R<%4vLonzY8MI0g42xjUxE5vFlCCAjM=S40;HIhFa2S4DMjicQTTwbb?VH4EipHTO~J!doXBR5F~p8plL}k?t?)O zZAlUg0AbMgw1mb;5C(lOBZ;b(7!tyu?_;=CwZzyE27N!ntwLjv2!norL8~OeXb}cY zmV5M=Mq3qzjWFm3)!ekcF@A(W4`a|OxiOH0K|iEM)7%(Q!k`~cpp`iUEjNaiFz82G zLSu{xgMO4jtK`OD69zq8ji%+ss1pYLm>NyXjo~K@`f&!WYD+!(aNpr2s4RXV}w6$bqz zgI2XAhOsc{(TpT2G{&!!Xbgv8(9bYvl_VG!!=T5h(R3{_K!!n& zPoSG!9bu#lgMOAlt7?fMGYtAUMiM~Nl3?r%gMOajR@D-NXc+Vh47Uo6(KHNtLQC8j zR>PntGTf?KVtfsQp41W=18o@eWVIx;PB7wzK~GVmY5T^|8wNdL6$&F!94ElXFnwA^mp%`?P8ckaj21YUHRSa4sH%3S?=+$a8 zEeVE7G3Yf3wBp%lG{#IZ=(P;DN+%dR#i0MnpjC2X6cvO1fRRLn#&9YI{b5UJjH_bM z>ln03ZVa$u(Cb@5W26;>-jF~m^D4S6G31Itf5dRBYKgH|4Ep1ixG@NeL4U$M$_JSAKh2>@^OarJ^1 z{04u~-UsSkap#`+*~0im(Ss{heB2c$LmgU zSvpgR_*Cb#vFV5U3>qUe=Eb7s`JK}W8gD)k2z>L`aj};-jH|K5;?+m;n@rwI`&-C= zet#o`W{Knv&hCVAQ7DKt;B%k^`15x@BSU9%Id&lFf>nbz3X4}q!uk$C+R%8j$FnTn zKjIA9YLDa}_JoTz_fPz9`h$QF_ZRWXfJ;Saw&a~e!qN+O3gs6ydJKa)PeLh;MnnC^ z4=sLs!-C!oE(%AH`{yRt5 z9~O+h`n{*(fu~7J#iI64H(WAWG`PO~PjxhS*q1-SV{(6+U~b!)Qb8NSj4D83*Ks*~ zMz+prxw3Owr-JmKKN38ljYUF3=#!{*q9?ds3=itOF>UOIagnfT{E_?`yWM<#f5imQ z{)PY_UOKp#7R%OItOH1k{jB>!2(~>;x7e8DU1D}uqZj1VyZ6X)T7VCCYVm4|bc#v+ zf+iIb&+nYpb8Py`zfBUVz9e;nfbASu4oUS;cmp)6OeMUvRhJsko<5AFCK@w@fiq-0e2`z&t<&jbORn ze79W`cckUy#^>E1A7K<~_C6c_CFWn8u!@eTk_-sRISnP8hsA)YVc^YRxW%hI=H-%Z z!e>NtGIbWanb^s;*DSvY+h3ay7W#EANGm8iRxJLsceU5=5Ub0)CcSZ9f4PV^fbJe( z!RvgaQ&+_t0zE-hN(TsrvVmbCR(88NKC7r~fZMYZYO?o%kv{Q>a<9W?wky`01*CTY z6I_`ZWj%jhr&Hx7h+znqFXTQDLE+h?{55yAbj!3}$y5y5i$MKQLu+&j}~ z@IE6eI1}3ty&7H@AtzBVYJ+r_OkLbM7fmAlp z!=LI0`)6?^10Dlj^6t~vG`oM_e8)M-HAHI zqf*+UPA35uUY8P1YP3KfoQ?|Exwc$bw;-X=`N!O**VDM%c+KGTR)6ihJ0CZA9qY<% zaDOEKK|z0FdtF`3JXaKtjVStY**1gU+#_`Qn7hPX=gW7S{ee-5`tWH`w%neVY0LII z<7A{-+pEWEVM8y*QsNN{0Sy+iQ=aOAe2X{%e907%N>$=-1LQDy{Uordvm|M zDG>Gh7L6B$68Ff)e23jOKpClGKr7q#6-b-#9S^}RURPpXZoRAS$y4+;9R zhYWRP4_d5qi_0D$-5gwEQ`q$5z8g+h#0FQ_NZ2?P{CC{q?~?T2@ZSO{4c!UJ)pqHy z_@Sl8ri)9~{w6G6>!YhuU*0(sh|jVd$uBH4^iymdo!tY#gjZ*zRC8K_F5>W(T-H@6 zS$>((7zSm5v@pc}v1NV8Y?}}`{b`|B7~PnEB4i6Ht%&2T?Do14V#1 zU0j?RI{vOc;u-DBuXfl2N>9fwgzu;D>PC1e7oDMVia0+gNMCYnj!^lph{0Q5`OjcX zoV@I);1SU8Zx}ZV=1L=v!1Ty=ep=4<-1wqB4~N~xSd)HPAn9dqf?{Nca+Oy6EM3$d z2UTwzo4(_l4uWIXHwK?k@l#C)kK3Fv-#qW(lH$&aP3!^6dw~kCtF@FFI?;-QFO2LS z!BF)B#pgV@PYgCJFYx&UaC{DnGq_21DQWir>m9&`*ENJyHV7E9GIgR~nk{Vmagwn8 zIPLk?JyQ)bmV2Kp4ttb7P226Y3>)#IR{IcaveLyPgtB)G9&6d#R%f{Et^Uce`W1U{ z^y0iiuM0*kn;3duHnDUNQ}#aB5n`1$wK%5`b69faZ$jmj^k`Bsw@w;OiuLZKHc?X9 zO4$C>iW_hC)->kF>{E-qc@Ysh9t^ZFywsO_ zgCXnSjk0dJ^Pmj5v)zDvq!%mw0yr&gNsH}RFBo=xM7LP5uRU;h-Adi7>?|NFSc76Rx?)T{HA@vp;-oW+9n@D`QH?Z&QXT><@B3>!HX9 zf=a_QxCAGew0w8qTA@DAZE|?J2PSNTGRlrcq6@rE}hhAOhE zlJ(mYc|l6lIj*ws>VXQg!R-KmOHhF99vM!+M|6EPa7lHpzU#%=DuyT~H+R z$)~6mGvN=J(fDT^KGbnhXPXFz!%13L3sVX!RxZj3zR4E{ls;zGLOO&BE$+ z%nT%6zWRhTY?}(*gA^A{w_86gXQs{^50GJdZPzP>sT;b|!}bq#pH!R0xv}|23}GV< z+d)TQv+PRJaG&5QV8rVdxKtB@Y@K5^xTmq{x7G;W!LFh}$%{ebpv^w7N72O(^|uGC z_S$dnHib>2kK~{5TODKtwzb+mr`SDv)xB#Rfsis!I3LOz0;S;9A1>k_$)pLIG)rd= zNWIl@0QM0MUKpqS?Z10_ETK3oWSZQ`m192e$-~U83?DRRrp_OPzBh1e`r4jzgmt~B zVdlBY`8X89Fyj$TUnZPOTb#wn&gHg*aOhm*>Er;+BhCe;A9irw6Nqx!Y!(X7-|XsQ z436ng?J+1G=7%zq*<9i&Yg1H=3xk+5o>V*zJaUJ*oe0jDNwzL(h8aVrvFS&53xAAY4i9f;O^r)&U@HLHbj}1oyMU-L~Cp z?7{H3hfKKllUx|Y%(k(Ku9ArpFj>e!gb#&O1{#=v#o)UzHCWJBFjU+^3%PfW)#j^c zHzw?Z1M@TFHFC2s@C1>gaX3}4}ZmJZFTPkS}US*doVx;IUPI_dV zILnjVAxMTL$TpU#iw-7@HtK%CTlkRPR|}L~sWEwcHMqoeI9JP_ z1KUjQ+Bj)YbrzWN`%9o-z(nMm%|h8XwDFd?YoqpAv%ejHoKA;hq0EUYei4}Px(g{4 zVTl2|M3~vY?$^%;h3XR}VQY0jjOuN_6nW8Y%6kQ|cqk2rvAm}D!=h)Tb@4A&OXT3I z-;@;BR_zP87V!*b21~m+s(5tN;Sk`$3)vYRX6pj>9;8)% zzHX-wyp9ErJ&eV$lfZ19%W4Hr31$8Mc3UA7e|x4kxn#i6U0#FWq$Fu> z#06N*#09KfI6_$emceUXzsPDeee|ZD%$yV#;1`oyD*J#?cH55Vi>eMfdUJ zf?=bca@$Vtn?Y;C>MM-i2(AyDt|V!g((dqtkT^EVZu>FJlgCCgjExR6nN41~W1TR1 z*E-5=zq0O&gxf9>1=elLCj2;&nsVDPjdqbW-pcY*Le-lFw{^`M(J9J$VdG9%Qb$+K zcg0}6P+TZ_m5C|N_GIObAgs(d3%tRpC(&mw`2iMqKhQqA!kbv&d5cWR*23XSCe*9N z%+{GvOz>aV%@86zOzvRh2ESb&zP^|&^5xcYgzPcJY>`l|_ee!TWsrrHQe0Zi9q1+) z4qi>SR`5R-%VFEAK6eCW0#<8uZqg#L-tuw|JAkU|x}cj5El9tW7Y4V}yQ4F8E<-<| zB;1eQ-F4YTW#}sfIt;PG3wP;N{T0>@R^khW|J+#!U2iCH{F)n>s5H->?)7dV`s?L( zd-Xi8P-4i0(P~V5-SeM>Y$qy*J?0apmK_QNqjMAE)b{lur`fmL7?|O4H&l#piOz}2 z6z3TbM4pa4K?I=|Mj1N4W0usK{dNn>`!RFtnOn9vqE_GO{Uw6Y1G{@Mhhwfn8v$%!v&)88vY>O}7YY>v#rMP`B>-uRz%{Y%NUED5BSH9XWc=ms9 zENR$(AR3q(*}vB(veT8Q->FPjP}j1+V-o@^CIKEKaN{O4iK7?o6pq9GDD^6}sqsUc zuD}aKU&Qw+jz>Se;{C+zQs&kua95zj>lsC0r5Rxx#}#XroHY!g>NhSSiKIHPr7T^@ z0b?+{d3K!=8o!Q+LGKsnHmtBk;}rkvJRk@)M;vj-EO?<3@d%eHt5w?Nj@JqSGw^`4 z1;6&^O~SfgXqQ|0V@=`>jVIDkA*2=dtdf^PK6%~yCVb%` zZS{OEPPba>f4$mJG8GmhHZlH;Ji|eS$#aJ1keY1QMdkl!mlSpmKQaMJXgEHXQ~&?} zWD_6%eBZzgi!K2pg*~d=^dEA$Lk9dW`Z0t4EhiUx2DC9xApn0Um;2!i_!gg0EX@=Q z4wnFdScw7|s*MSnFXS5*UbIjz_Y%hh5zY>#POHBQB*Rs8hG8Z@IARTHUgkk-2986^?X4K0Sc zf+~}1nTsZB)c{pB(A1xjvgNkcIsr;`V9aVG`=98h1)+^z%;X(NYg&3n?K3bQaRW;HBNw1om#H5mjMLURD%9?%k9~c%wt6+>6G2-JuAwnlG^3>ul~uc{T(T74*HwG8K04r^g* zE=_x~8FUR=u6!%?L77`pWDCz!Eut2qmG(UQ`lxDfuH{fQP`5Q*^Je<|Ikl&%K9re& zz>EydIsq0>{iczbeMT`iGeWDHNW(>aTl0)9hx$z_hx%%BPL090s2d7-hS>{K)Y)^< zT5m=-ERoj8s8U)YmW%$jrW{I(&2>(7 zqo}y3Z)@7Rg=ZS0`CqF~E2EvNi8L~|(AFB6>E=@tY3oBVt8G_13bA17H+4gwQ+>4M zP)(#Z3{?%(k6Al7C&$I=O;rPRIa--1ZK%PlP^xm+Zr_Zpvv?I3RjRtJ+3#uUqqUos zxY%bcv=1l-n}|Ya(a*f{3k^Lw!|Ud2M|t za5L>mYf8;U*G~ORQy-L>DoTx4aZ$*Y^@g4E|7PS=)u1`uP^JL&Icu3|Br$YV4O-C+ zRcgyR)i?oFl{Dp0HPAexN^P!lQeQpSB@FXb)(%=Rn*Tw4G`e96rkm&->!aqg=q%sYIl&ultjM-XZje-?3p$d~)Qv;Q=X%m+6G~&|Pl(?;A)8fj7 zoxvv0E&EIopFuQ66=yaRT3n)mM2DlkPG?hvwUVtRmK3aEkg_%9oe`}iCTb?x=sMCe zK3X^pT?aa#aW}=y;JdF^4E?e7l8o6W{TCfR9MKbzK5^JC>R)j%=snFt{ zQH^KNZZexC|7#|(dg6oisnTcSadnHWf--siE1D&Z6-t zxmrQfRgeo)b)&P$)|7XaR(#H8LW_Gw?SlMT1=DCsTsixH#~!GblZemK9+DC>qG@X( zw*@V3D=n<@wltb5OwQI4lZu=vTf>kCKBYNhef;3=)iXl6bN)Uarj{J$Y}!X~J~ zT2VZ0X%bW;E}czju9fUF*~Hn4c82NGnIt}gXiRx$HWgZ2sUb-H=)&l1s<2kFwZxKw zH4IWVC9Xt#Mzoe#l2}9BO!k>w2hG|_3#(jOD`;Ai5>zACS!7e{kwb@+5Jo3e(vd z1_=$>Xg_dC@Bcd-h5z~@{UH2biEuai%#glgPni7SF)SQ-w%4ne^lqbD+*LxKnqAHq)%8+;{ zVyRx3fjk-A*R=oN;K{tY%fWNtp#)_0oYi^t`w9;uHO}N@n^)?}HmZa`Zme4NWnIPs z74QR!qDrC?O(r2Ik&+1HX>;M~8x)>qQ4CMBB_WTledm+sz@sTiZnC!7t7`u@c-p0P zo~!UQD>YLujXYFFQiE90^KKv1zm)V9b$dy(@#cG(rvK=Xt9_TOf9&dpOYZi^8e9=yU1j^C$db zt@G`OKn{Ku#V_Qu`r6`|Np}GcUN0aa9Mu4?GvTO7oIUgMfEhx`;NsfI zY^!VJoZEecgXvRULiXe-lWl+z&-}t`0uplYURpjiDnl2vO6Nf?sTL}$>0=rW_74Sv zO>gZk(hGPvY1E!PNCbtHYdw)rGD2qRLeT-_c#Cb1Y!bFVO0%xJEO9({xR^Rk0uT4X zlbi6u^Qkqp_B+YJK+|p+Ar#$ST=Ua(b7-WgzbAS4>p?imbM&hDVi6wx8nRl>Pac4Q zZ8Q}q@tTa>paA744mkA0E*++_VE!bbVgc1mKUMU_Q)=gXpA-#+N8$Z{{K!{poc_77 zvX1{S6i;0=KW2*`-jZ!SXmro5eA;32M|K+rcunECr}q35h$-i54TIw4Q)i}-;+gYB zKv`DlIOc65;5cUI2>LjeKla@nayTYfRumN(ML5nT;CCcX$-%>_@jxcLux_g3df-fR z2ONFX2~MiB!721xio}Mp&Hms-&%A2A36BdV=N*sVo^`@$J6w6lV|2UV{4TpgoS+;S zeCpkYau;jW;!gAGdba7YW%<3W`q8xtQ11RNF*i6k) zS}hjo5TUEj7fKdiO+n!sFg|CL z92R9OefKw^{9X1qx~7|D75Ic~2$5-u*ZlVMHOvaiNl=*VNidiYUnU!?=~w@xZ*G~b+G_B zv~FARDWPt@;0xB3#$sXTD{eh=TBA8GGUp@7N43pXL{xMIlzwOf=%}0a3WhCvsl&gv z{&-)^-ngoMOi3Xg)fSAKl@rDA+}wdchS!{gl#*8i6=mq)AUZhuiyI|m7KZ(qwT7%G z48B415Fwha%3(#LfJ=5!#fpH64#7wq>3H_ecbjGiHLrvPM@^9?EOR%#NFrSnH;pF{&W&>fDR?9QCXHn+aoVUPQ&j*5CCIeO9- zRfa}nm7QLhmQ}PRbRQRvrwgKQ>v1k%Ngl!JSbVu43RdrFe|#byJz3}#loJ7G0kdo> z^As)6T@H`EDo7tyGD9eQLv+<;23_?9vjq=*%-fNChuIlOsusJPJXDn@#8tW+96}x+ zK$Nhp!xw@xhjDmg_H~Py#m34JF}>);aXcEjL-98_RLQP%zM2*$d_gkx`MvKcLA=c* z2F3n?0CW`-N-B@x!%oEPhpWbUga{eKL;mDIh^|yVgmZyb6L3^@)JA52p_Om_Caivo z8DhtjSB4TtRTmc}XH+G?DYx4j&2fq$>)+5GRyK7JX0L9d2dh`MSB6B#RM-4Hh7va( zRqeF8*uhHH#X>D}md+^++&b2sVAY%x&S^TSH`oK3UmLn0RU#<<5SpdX3C_=Xv!)QCH>2Ge0oOZg)|$jM6E*-<|wah}3$E z9ZfZUIOi^LHrt-X-r|~5pIIHV@ocuRp=)vkquuV}L_H-#g?hq-(+?h?=h*a*H%t;X zeniRnT-8vV@xp=G1|jKoyHaAl#jugV7*D<*3WtX;6$Y;``0OP;tn~xmDJ(+kEIa0o zlmvqjzg6$<;ql=4*p|3ealI&}Y?@1y`YW9j4pa?6bzxlm{d2)^`g3YrjL#nvcTO$Y zGt7t6{kYxymh7m|`N~t)w~-Sj4)m0DDdy$k@`s%64UIlG2OYtZ{z748e{XGNKSy+e zzVc=-nfu5iMl5O%CjG!QP=@SW-a$SPnOdX4N$nQt=+(8?3x;(!P;xunVRN8id6~~3 z;COEF2bu9)9x5vdsXXO@Hp9bxrB%v~_LGEN=TmL=)4HNWn-#m=%1~JfB8~$Vyq2li zVESt(K4n4ggF?m4CXclu-|AGxbK}Ndo?^@5JWH&0zPG+OT=Eo-LzTZ2`(qO=6%CJ* zlRPap=Tw{Lj{gcpiqEBw*;|ffCR8u0Y6a%Ws*mQt(i0DlEl9s|F)#H&OH*{ziiW*@i?#p+_UH-O7_k(^|o_?=HQda-jVBk_u{QZ9DiSY+$_wz*X zjuYt7y!oa=cd~Qe2$b@2;ax2y=wFmpv^xfG5_S*K3z68DgJS_OPx&0=)t~4EU&Efk z)~KV}mp?P&O&(8+%LUoa-y`I*+kp-QgQttAVb6g(h5CC74dJczcU#1U`oTh>5&G%a zz=mhTVq&VMK1O`P&^KUp|GG-wz_-G!u;Ny9W4jMNTukOwFdcmtJ z1JPvQl$~#tmJ_B9_K9SKTXNoJp|V4v+cUeey~FB!n)qJmBmb%F)ToC()Gp+=&VnIX zU+6AT%mbaT+-j>5T2Mw$IEPZ&*EnQ{b0}RAZ?Lg0WYZsUlo-89OMpQ>Y7X442U?egc4NbOtg2CC5Q&xki79{uET-4FM z;G>k$WNktl*m_(J*aS?tVdhhi{$0**LdTe~y4NqEF&CdO7>mhqpq0TDu~>W*w=C#z z+L9AlocIj^CcOR%7qgItVcupV_ORgatc^m&VFTrrnzmesXEm33XZngGpMfVe!zT?r zsk{vOfbglNv2n*-Y?|~1zit*Pex>Ke70)I%d5Ybh0J3ZTP?C>v&Fe5v_78SA zT-rG$2eoj(Box-0e0BBHVS%r&e=!`vV)s4(V+(Ffxy*UVlX$yB8M41wuLw<9gx`!y zgt6&6?iCEX?x&QnHJIu5H?FH}EH?ygEYQsyoDgm%tGW(rM+l?WJx(3o z{Y2$^(&63pVNY>#s|Nj;EVvoT=&c%9))1+`%ehauc(~hk%`(fAm)>1i1TIWEpY@0N z4>?1|(=Q4>Trjz8$+L1%@B(1N%YwAf5vcJL&Yh0pl4WfA&ld}ZUoW8>O`K%4`nJRx z!`}Kbui0rIa1zI=+S_^*7S^rnZ1@DsdfuVpBE!CfRg?YIN1z0}HeykvEchYsTg04q zqEX*pG(#BnYKf~d9JJLxG(B8|i!-TT*Vm`}9oFJK|0p&IBVmo;ciI$FIYhNVHL@q# zUF{Ew=@tu7*>GW{W}uPKUPGgURhgT!zT4yNS>;W(m{A z_$(lXog(9JLfzBFk&@AMPsM_v+U;XZMv)q+eEBEhPKPqF!Pb@i$mWE&%48HK0a0mR ztMsGWPYdNAF^54n-6`OfAshy+FEJ{IW0z7o{#2?y@gs7-{|xhpox>E*4Eyj_^rmCe zyB>W=@Ew1MK5IGt(MF5awzY9bqh4^qJR|C~QX9wE?`4f_A(At830q5!+lfXNz&X|x zy-i*rR?#b9x79A{C4>v9^Ri*=_PgTB*o_()0(^MYz?E!3X+oXwt0!;SEi~Q2t|O0c z!HqL-{sh{lv6DXtEO>22I>jK!SYnRh4j=3;T`@0~JO)Yn{Tc0gWY>S9@yTNrjX zY`NTQjc)bjANGh|#i!t$P99-v3AM^7;x?S37o>k27ChBa(c4fRiMpmltpD|inXs`p zGQKteSlNmGVhZ{ zq4-<5XQNpR0VcdYhfAe}cu5W#@2EF~;=*@K)peKK{NaLm1`{^Z=)DgVi_gKS(Xpsa z*;vFmfZY0a!pBq{yDpv!4%_ShfZZmK&(u(MP+6UQw)Zb?k7zAB;1pp~Y-Xg`qo}kk z@XBu5kr0!1)7vqRm@l|#JGg15nd~LE+cZuvZW&AI;g71nN^W|jNS~FCZdz`$IwH6{ zTz!I5i}-9%#Mtyl=LshLTkh)W3!sbXFb5dv6Q6)prQZ=_2;~j19g38ShX;K+rQOz#*9wM> zYw6L%yV)6UTs>rrTaQx!C%ub)57d1mu;KML!b&L>rYcc*)iMXB6<^O0c707P&z@W7 z#+^*CRiD9>4TIC%_&)9> z=bNL4=d~|1i0IxLZ-({958Hv?QCrm`9ClB}%|T0C*&M{4C)>p?La*v6u6U62Wy{Ld zn}yY@8J9M<{C%<@I|2(b-{9n81f8#J#b0VkVUcZ(lL_tGi>?qRZn%h^+donFVYN9h zD=@#_P+Wq0OuDBLEv3!v)je(FRM=CxJ189x5^6id53YANBVI zg0b3f3ru=F*xy9+bSD8vBFaDRnP7&|GHN0}0~T;lVK(b{>@ zk;R6|zWf@$U)-a3WO}sw21!9|$;QbW9e7J&oq7`c;wJZIq2`dcC^EaI-Vw4kZ8;b& zF|p&nB_3*a{Qo=Qr8YKT47CTz60LMz@9jeQJVxH8uI|M64=X)p{FkkHFGC2!U6#&; zGyI-o(+};OBsA`#&El1h7FI{oVN{BTHtalY2+wZZ@}tY&=-XNE)r-nHl@p`ny%S+> z?nfqMCdwUxL%G~K`w*OH9eKI1AM@naiE`OGr$yRF`2B_R1=s24nN`yPL&BZAOG=W{ zy$hiT*`IxjbWn!k6JY)%lC7L2U(FCI_Zh?16@M3JZG&biI~I+9yRmYnQ7|w6+Ulq- z_ZGu0p;AlqXR@6hAgoMlXXqS(5-@m}n3`d&Z)W8DGO@bzMv9WFI~*=$!tWR{!N7ImL^!Q`d=xFcjq&Kr?XuJ=C_38|%=Q_|dgVz)N_h^eB zn#XH&dz9uuyOMVU-D)xMRRc^tU`qG#1+asA0X^JQ{*l-X^yq!cqQ?VlvX#~&Tf$0> zaEq4*^JFWp?4awtFW*}yxL-!Q2g)LeUbWkUZ*XT zw+TkJ_>tAU!$Qr$C3;+Tl^t@2BS|Yx0}z2=!o(zpeTEcc*di{z?~l-#`N1p zS7Oi38-^jmLN+LFL`CfXMLVDN@vbgvHC z%*yN!XVS7Je9aMu65ABp<_mRi8^eyeg)Vcw>-Az^GW6riJ8Oc`iN=i&7lv_xA8Magz@!4H=g@~HAlLII#vY4C#~ zKL(>8EMgXnnj=(k%6(p10d<#fzTx32X?1B^q=n-Vv=!ZnBUfE%GL&D*>yM zxZRVFf!l3*jCQ+!9RDKm)+h|7p^U;vDL2_kEhQ{kty4~-0yaGk%Z8DKMZ)YYBVzFh zhsen0bXtF1)w{@RIKZ?YP89>aB%TC*O8Bpq64rh3JfeisZm=_QmA+8lFz+gdUrbI#Dn5W+huX4kA&YA^PDLWd zh%yyHCCKjf6tXAWt?V7cn3qfXv9z&o9*~1-S$m-7Ss$4UV+9##5Q*1UMWo7olC3bkNuxiI~@=`u`xFuKl+$SUu*r{ z{fS@n{lwW%7x|Q>+fYjN5v2@8^*Ch{;nn#@SauBUAUGP5XS1-EiT~T!Cb5t)3B}22C9XT=3HI z^!XgsPBC;0^i6`{j5Uw=iUQTO&H%jSXmWc?lCMv2<3aYmQ9{hj9AYF86JlnbpCnX0 zPkZ0WYZ7z%qDXSPvjo`g0T#SsS~0=o9MaBgX)oBGyvgKiD9bYkrxca-2xIiGvUldN znc>|+;?)9nX4$GvCqz`bTb3?hBkpnMp*w^lLumio^y-O#t9EOS$5;d7xW#H##$05S zeXgL0Nw+vgb{C}Ie+#^CfynO4>DJK5hx&VAKXMWZ35yNfg>+fEBrO$vu3YtaLP~6K zG6jRBrt}8qcLM}WJ?#~Or=N_^C(UCCiF5XB^%Yj1e%#`3EcYHRF7$i}Rmazzuq&Ii zBT*|T?3>ypNB;5tO~S@+>DpI~s*&PI3rz-gK|CFZ;Nl4%=}%P6{Z^>?*z_ScjSz-H zKE`nu{9o z_zXo{v=%u|*(ybNI!fQ!ER?^a8uNR~W4>3K&F;5ODDSUMH%cs#Wo9#F=oi$ zu(DQk!H~ba&{&8Ha7FFOxFT8uSqTkDy^qY(ogv)Vg6LN7B%!P^Tr9@Ry#82V@;t9t zM7F~d8aR?~u~LD;=+6>kKA)|Ks~ADH&Jy*L20PgvqGg)SryJ~*<7*Qu@33IJR2lA2 z1Bpp0-$qLbeZ_w}(ZI)FZxX7%2^+*%_16JMa?Ibj>b!cRVd}=OE!IE-jQPI8h%&9j zu{1H-@j4_2Gr(1y9&X{{+hSgBVzl#<*VrIFydoGiO{ms;3u~%J*c^eGw#N-ZF=H1F zYe3rkTlDnf#bHnV?q5E#TBt?zT1rvvun@MlWa>n-P1;)BwzHjJ+rD!owdd5h*Oz!F zrPmvkksCK&@U0wN{CQeRm}=Y(BlXzyJ_m*3TYeA%Q*4eg{oHzdcPPCy|Ga5rEMRc| z;`U93*HboUK$$9|N)oP`Z%?SH#SAz>o3y9@d!caJNIR?f_t%Buhj(@L6_O=a)Ur6? z{5y^X#)Mnt&({hITa6e64)gg1GlYs)B7&o$C}=ZOm*IZ^-GG6vZpiGSTe2!L5XeXyM+1lnk zDQs_~S=SlmHJ6E+y`eb|10uNi3$%n8I)8wSd&5ho31z0R*HJdzAM=#I=$S@_6&RxS zE(lm>n-)LhcH^4MVrKtTM7HJ&ksDeGn{E)?!o^>NdBmC%@rZs&BVCtVA{Z+#q2KmZ z%*Lg7IV{E9;be$Cb{AQ}7b6*KwP0d?(rVuw$`Kkn8oZ9ii)>Mk_ku_XZrLkuKI1`$ zvN?nE&B;In7vGUk%AOef5KO3~*Xet9tQ2;wq_rFBb;6QI0x-(oc-&){z18+fAn4t* z+~@JmJd*#3%Urjy%}k>^6hF4c?pVm3J{GYDLJf`i6AVc0~^`;N&Y zgxy8OKI`sj(WraR3t}O-DcFK*g0TB9At=q!xUu8NqAh z(FT)ya$|mtEtCwk#y%zMyi-CGX!nV^Am17DWSvJjh=Rme=YsThhq?&9#!d!bO=G7z zh%xmwURf0BpZvQ7cxx3GEy*|noQ}xm(nYBNDm^j2JA)Ro10Pzj{5N6oa%PHCAMcZx z;us?yWdMSyprVtm%~6`oQYc+%2833YXD~Y1_`c2TpBg%`s<6m|1H988S7z5Zz8ioL zEi6Hvb%9eIQ)qZCa196UFQNqClqXiSgZ=AAmn}Ny|B%8(((x z;jr7-aL}~I;Zi12H&JTtMv74_5 za+Q`7d@jbqc7j*azX*oyzfc3C^GCNBXjnJSXCxud)?if82X>diKn53oH6dm6VJ8ML zJZGuUaYeYgR}cG>9p4c}0Vfv8xH?xd8Wguo5?e9+HHwVNL?=sU50Ei&_ztVE`!jE$ zW7lR274__F?=7}`X>-I#TwRG*S+pXrY|7UnugZmAhk3-5NxV^}&Tc0bcjemaAg=B@ z%7wpD`Fg^IheeeOmj%Bb$!NO(vB@%_&EgTl`R{nlU9O($?eJDO`IoO{b1Z&mVcDg| z$W)jjgks6RJVn9fM(a*^8AE`*DVy{MqDN~5!@q0kMiU3xLx;Eg-6!HEVpKFIwTvBm z2#~?W-#|#IMgw7c6Yu;~Z$ba;%|+F_pYc1x;GV*aSIMnOJ#+J7VAQ+YL* zqmkgh1=k9OitA}1_s*~e4p*cb3k$L4K}&3>@-q7#V4VSMaPd7DDPgNOQ)jip;MWrz ze8nVT%OYy6{oI<`6Cqn#8mzqXyz7fhk@zap=MV^ATjBM28jj?{Um0&b1>1FG5c5ZS zJ8BNUWQhED`dD4i8G^X)CPT6E=Kcn%W<8afDXs}#s0UH~@It*oD5^Q?i!{`DgRu#o z+8?~0K}nAX!4}a_RGFpW>w+P`1{dFpu*&*}nq}!6j@wV-AaiKs7eb?f83IN&-ji6( z7>fnvF9m4p?u~p2>rT9=;`1;sY27djl>F(Hr3J$1vI3J4{y@#;#GPX~624-SKH_aC z8|^gvw;suV(iAC5ZkOU1CYxj*WCnFe@~?xEp=F}jM`4b+HYlwBuTbb%|64RRa$Tsz zR04yfyvBofGO?Inad@-|?GbhlVh4cz+bmIA!?OQ}vp0cns>&Y6 zX#*&(=(r<`ijMn?3+T82Iy#Qas0@S%B}8#S*&PW{A%yu(SsW|iST-$-V_8hvJWxuR zq)kxS99gwVpd}5ALNSlNpppg>Zr<;l``&%;-W2)#KYu>or+hQl^Ugc>+_Rr^WralQ zA*+`3OI#aYwga`!C`9fbod8q)HP0jUo`L@jo}xPoUk#EVL?yx2h z?F9e`Pf?%tfT5qqM@8A2jmL>6;i^@G`A~FflPkj2%%&R6FO#|Txwa0r1d8)}?UGZsHu|BiI$(80s@={5Ci`#>3PpOn*NCh-4*txoo z0u~qrT$rF%XFPo@1fa+9lqg+QpUjQ+Y>B%-idLs`Nu!nt&lPjD?ia8Ha~6(j7R*^N zS6&}XR!kug|B6Xj#kp!+#DOQ(D9sCamD3*72MjEc0MuB+igc}{ay3-vSN(~T_3PuM ziZ`+JHzV9GTAHUSW=0ley&hLB!ksdS3TT46f=y&o!R)Sp(!2^z*0)rK^sH9g7BSMX zXrwZIgiuXrz*8{cK(pZ&jXO>%(1u?&(Lcth=cuY{bCc|n*Ho?C#%eadAdZZbXz~=u zJ%H=iy42@=cZ`%b@cUENsQavOSNuUE1cOl>#;OAlp3bTh;ji!%;mbHyg?=C{Cvfay zV$VQMAaiceJ1vs#V&$4CN}*Q9M|GbxXZj>)pRD?MML5tjXLBZ=sW025q6b%bKYDOm z9L|88tXKODv8rZh@JWtz599k$mmqg{fQ^6(4_Q;X2l|dBhwtH~%Dz>rs*}M)^E!_$ z7J~?$oXh{tIOJHo$gCr@^xKinuiOkO4{~9bL4*J0PN%D>>~c97YJ2Z8;*N-Epro2I zxO+3e9RSeaDMGJ=l8~K6z?N3KjkFxQc`IqVS**1@?AH}36u}FO!*&FZBHIzBNRg?y z9f1PIq%J%^lYb>;`*qh6uX<@g=&3YPCq>N1; zL&#K-az`oe#VS__i*19#>>UnUQ}utdz9}(jiwEQh-{$R4`V4)8C!UEBq`A3+!2F%N z_Im%_WmftE?&OggCf@YSM^QT?#-M5m5LEK+ozTsgw*~o%n>P zD~LT3B9%eatWKBb&LQ@=a_W;{fF{Ng+^OP;fNyBW8bK8tV(6oqw+)Kp+>v1UH@Rf2 z{A-93iq?cAaTOkTR`r66A`IRMi~*ibPV05waAFGyoe<;2JLc!qd}6^iHSMm!b^>@m zYOmD(^M206%$zB(Ne8qD;VFWk6Z}DGZEPM4xbVv$2kjtTOGOl=b z|Eg4u*4ZVTMt~L#ET%gK3TOf~!6nygNtb^=mQ?=0>yGmOu{yi7ImE>Y(3l874np~d z`h;OV2!HEEC1O#>By_-rY4meXuXjmrs9<^OH~xV2hFPw}L}1#|gIH(hmb2sCNAGds z2&R0NFJwf_SukZV!Y$+>UruxGj@?CU$M52|P0jkG#?U(nl}3Pl0fWk@R0aSfc#1IG zE^Hcz#NUB=GTid!4aDxb#a5jeBnKi>f161QfyAJq2)>;Ypukf!gu)Yc4JuCZnr}Dn zV`3}+gvYVr&Y-Vx?iUGbqGVRwr^x3Ncc|-?-<0}W%u`ZXy8=QKv-U<45nU}+mvu7L zQuPmK!~bF=_Amm?HU*#Ar_zNM$%Owzy=b4}zicop|*RjjZ)VS;LPZH@kxB9$perM-*JsF^#UjE&DO}&(z z($svR%Z;Hwe@+t1j98Rw7=?xe-otew;2~go#g4x* zdMCSrlavH29ooQGUr$P^ua8CQt9yt2K2K9s??i;FrUZE!Qhn!|X<+nY7*R$TUtq+0 zAOo(T_#bJJre6W2@K*?;db0AFuEU)fr@<>X14tCA@Dw@Pg9Htj?Y9O&?(VvVL@%;O z61j%1!Q@Q9U(?wuyHBvC)%CHi$?Rxf;tspbX-fT00GyrJ=P7VOa)+@nakypck?%?B zNZLWxrw-+Pjmp8a3wtQJS{8r6oS=e)W&Y<22Erf;SV50p3DR9Wayb(vS!hNJs{3uLSKw+@rwo~+-~G^TDkV@ zpCOKOoIC65w>Rab=DDMrGY(WELBCl8j`d(nJik=we+H^QelX zZrT&4!`NM9dB&M9BQ#w+vt#fI{$_HfUJX9d^8DB2m9OKfZ|7X;ZCl07?mkAVRD47- z>{xNq;lP&GR6fL&)1XJj^z`Tm$V%24&@xpd++0E%hTu?R|}Dps3Omw(Ti5>oTBGZCyA5j2Z) z5;ZQTORll`le9R;>M>$<$mkOQ8azegC|q_nfw(Xu5L?E^f=ZYNwK?-RMr$d*S5N!K z;z;pyK!N6X+;tkTn?WynE8k#_^p5SqbVL~~XGkW#b0z}5^_+gCK znbt!;(ctv}NMSF=g2CckbHPt!{RLvqYk9(-%w^vD&f~OV?RhpYab2>g^;pvDb%bhY zJ<69ptv_YMjlh?;Inzr0Epj#;zA24-sU^J*ZT?GjF56T!%0^sRc%D@PQw;A9O?)Oa z|3;w;l!2|uYC#@#5g@+w0>M#>&K%4noZDdv;wb==o%uIT2Qw#ysnDEv9E9eA)e9~(&!lb9bIJsaBcr|l4H~asNkvab-cxuu7)w(&5u)2&e z@Hs#ecCcLSLERG-Ra$X-f-SOy(50e3P+=@lk4B>n*&6*i7H~dHAo{X?xrFuMP4+om<#T1E9O#f&gd(47@WW{-`o%J=S{7 zfKQE^HG^DbdC?RDqJa-kPb-Dqb7BcmS2;XEb$M8comx!9Qs_|DEQLnKXGl(^AUSal z4X1i3^zH;{fGJC6DMH)8R7h>}AIPLJM(9)WhDhM;54VA&@!4Sb=BY3q@Foyo^3&RM4TG#3c>2-2S;yG*9@Kh?)w&^jO72~jA0h{L?c>!$*c#8gk z0D;6BH&ntepr3pJFmy4_aS18E(h7yMm;3y-@=GHgVe8~Dgd;#j$#b0F+1;rX=R1== zzkB7EX{%>SL%$_iUt`OuX1iHhi?3y8x72toZm%A$^+_16g#=b#NMPNcb~n}B>kmzf z)!yyOh%u(DDjCBjR{&Hd5NA-R0%Oaeo2gl}_cn+z-6n|hwq{7zry5tiC=ZR_0o4qq z&w=uQ?ZQlisqX^w#%AGfY!|%X<9W4)M-M+NEc=}%|^(D+Yt_F+p(yURD9}CgB7d7K3l~Km560}vZR<{82kiA z>~|vmrECs~ne%ZA0RPVD^l%c>DDK z>m3!#FJ41dUM#p^`I!;DBqic@UwYck@1nBP0nLWBHo4_FXp>u+2z+5^TpGavv*S|-kej-vUI`qXa&+1RA_RlY}V$MgAQ&>em+ zlg?mkSU7A>WJO|N4iKKAITXCe*m4g#U9h>2BVnD~+$R+Bx#M?}2v=8W0|?BcoogUy z95_%TisqA_SPVY-sl}Z5XsPT-i`h%#DslHQiOKWoy!IeFc1g)vm}t=$VyhU#8Tp}x z1*u@;!s{LOI2!rBl-Xp50-CvH@9STRSW>AE-J`Tr7ivMIv7}Crag~48qh@ZmYD6@r z12kri{i_ff2+RpxaZ}!`C2r3b2}yOPeDS(+>@CzwB)9)Bui6$A#R;Y@eeSs>JR+(946jWQ)O9#XmvH- z)7RB3(6Pj?rg<1B5r9Z3WZ18`lk~VJQ{8t=Xju2VJeh*J8oycbfjBblv(UiNAR`2b zwM53iZBLV(gIxCD_JP^ZL3oGO5E1I*a{G2Xp6AYFg$7zDI z_R0ZYQ>33fHN%MkmdSSagxF&+SrSM2L}I2k z4|4SUh(Z=TDHt@HMOGVbIW~~EGDG5#rpzF}JR#QhObpBjK4X+rl?zQ15J@lq;K5T= zLE$p72Npg+m9>c3k-NVn%H4tjn0AdZ7%UKa3&kOT?UV^ngXvBq@lQVLp7SI`QJ1F2y)^6XX; zD@%D?xiakb%*<^~Sh*TRP=Zj9Y!r&{A&+GU6QHCBTaJ6@L zJ4T{c2?6HlO4XnBuWz_2mJY{Z?qx{j`d%=S&3NNu1d4BBVi!^%7)Kpx*}8WtX@)`K z>iXudgCW>boiMpM7ZY2|AK#n|Pov|K9p;2eo-qHWkOkg=?h7r~|J+3|F1eetrsgJp zz-B++PT*byaI@+M9SX|*q8;i6<<+D(Bc93MPufF;Zm$rIz8qHDljHWBvLj(a# zJ(v#PG?31b`U{pMhjiR(wHeB1Uul&$JSA2-IgL{TbGejh(4qWdxUQs-*>2Hu5zwos z4?IVHc#cO@J-<2-tgWetxv`fjugXjB(YmuPMW$j9SGC6#3d#^!m~k}*b_oV1LOGcn z9X`ro6UJAhf=RJPTE~NF$tSMPb)|K$|s9372;SwkWR=jY%{9ywfbf-iRH)N*k)j&%ByN2 zyG0j4oYLyTw-3?BoOJ1#{VsG&=(rj^C zolVV_oHx+cTudT-DRKfPr2EZgD_$F}p>PGlNqH@-HhbQ*qh!uJLGa~oQuX0SF_&48 zh1!sP{#pSbXhX<9gn$53AxoZ`O7bbe50K`k)O=&>`)|iG1S(2=l04q9;*fWk!TA}5 zDo7EmY(gmlrA@nkA}hX)xU3~B_V}c5ZRIYgTjP>3U|C2$pPBULWB#A(=o*F>>{l{K z!%EXF{j12#j>7jVOEUVXBe&IMumqk@CY{z50)(h=3KYo$P{&Tb zpsvj~S=nVo!c)7eUh=EW&}9{in`NKKY>cus1`P}r0ADDD!GD<8^8ksZ`lVyJ)PsTi zG?(&#GbUaW5Xj~Xcav9z>k%sKKYjzN1gOQ|C<{aM-wT5w!r0Sxbfo1#>KHk!2=>w8 zt_h7IjZQb$MT_H*m=m`F!SPBE1Qa^)7^#03u9SGs<4i=Cl_>$;vCqmAj-kGap8s>& zI$_iLh2g-ol8S%Eh~2P0cx&cAI(TCX!5K+ut>H(8!4@7Z;Vb8xOTX4~PL1Hs8ar45 z=rRK_Aix6-2JZ`tyN6pA`JtTbb5~5MSepttmq}VjBl8$?fsk2bS;1{wkOCBJ7+_w# zUV8Z<7(U*@dkpcxS-Ek+_YOx49lE?yP_Dr|x;g<(P*$D0Wc7OKjbmZ@_&8qVRj$%K zx_HKE5D~YWF;~3+jefM^rEc~-FN~~`TybS#b#k;(t5H?va>cw0AmtBA#2rsWj9Lwp zT8xw-&(& zZW1r_@^r=BI`Ry0rk=6Yrv4)P$2eP`v7}~(oCCvf$$1NZ78SBir@A* zRM*t-+lAwuo1`ZaDi(kE70$3iZHm<@@DzPXfpT&db_>`Yhge-t`ohRW?<)iIu|C&C zT;^Pq8DLjPxpRv&mj zJuiAs?p!M+eWQKz4`n=buy#-~2Wul|3<7}g6m4n`7|i>SRuQtiJF%_k8FA%S^zdfK z*j9D7t0u!+)f!ea`;^`QTNcjSsKXMJcg0abFojAq`h8L(|iuv+h{p!fd zUV;#w8IS0x5oauIq>VAg{TKj(r)W!ifIj_xq1BgvMf_I?sg~x=*UQO#)12p0)(pL& zDl41Qpm2x|)EUrPgRKHss@p8+8x+9*BTCljZ8G^O@{4%1yWGy6AFWpfX3&I ziLqqM0m$X#wB{=&mNRpi; z#8ij0eXMhWMa z=jQ`cN|bLL4yRcmj@-*^{2j(C3?T$K;4+o5 zi^Apneu&QC9T;GnEdP8esa(gYjF$5M>a`g$cSayBlf}Ccnl6jk!P|qsQOf~55tPN6 zd4I)@Nu=kFh}?f+GS=hk2$pq%GXj(0{px;Ib{m=!AihPgR3vjG z&S$Z77Iv`##*H^{B6+>~P2S%e9@b2#YbFN`W<$eg06>DL=sWl~#h-=T&g!D{hr_jwBc!B_ijE_G2aNfgEIrj*UV}nKZ zHqZT6vzu1a$f{XQ!&_$&9Y++%55nK!DT?B6jK*202}tHCtM%N_jX3JMah$fVBCoeM zc&s+D7a7ab;3ZR~T5uh?MW8ZxZMO6whj6{>Za1g+dv~i=v^2 zAJ%91*wZm{hEFd5$>j0A_8@^8SuF#;{F!59#k1C!qiN-{Io~uh_%^@VW>;G)pOL*G zG`BqtyAinf6o51KZqx`v4Pz#tn(#MDdRi6(T~;a3QgAKJ%M(fY$QY?Fe<2l6T??Q0 z#A$nlG3r6iN_msD(W+->eZTPy25Uq8T1uNgyZauH(9wH%vh0i2v?g;fVep8{LoezI z`bX*H?u6=udE4>!aG|>(1vUp9nFIF`TTB16tLg9qe*YAMG^ghF8UokG9lF=+^TIs) zAu*2`lp6rBnZ#?RusNoIa%-(`;ki?Uyj+x!U~pi{Ih=TS!H?CFUd8OW_W(rZH6;ve z4T*e@s)s7m2rj8w<5gilx7br060l4Bede{#g>bit4kFccw9$z-<6K??N}f-w}(g0ssze@Rw$7K5|( z6)^ZvD_?KzJlN_=Eh%+)y}8;|oudh-S^9t{k#Y9-_y*nO8vuWWr|1Xxx53#-yzwBF zr3TpS2Vp>Q*@L5b)le}so(!thGvdw&oJ_-Ep8)S*s^$>FGvo?<1w?IeEXS=7kjX(n z<`fUFRMEYYgwrml8g}juQ)q(hfVwvJ^;$PvF4gw|g!B$Ts zta9}yA-s2_E#l4?E-nfOwmV7v;|-|~mL~T8!tN{@3AJ-Ca=SCC{91i?T$zNiI~jn+ zG@slaONgS-nsFF|a}CV3x-R0$m0s)hk9L(_W6yy0H`8oV(wzCtYrdlhRA*9_XK2OW znE1tM1Od&x*?adyvi}Ya=a#m&;FQ^$f2ZQ0!K@nA=3N}`d%OK|lhPPYXOvbDH1L9U zkRfPI%buv62&t=cA$4`0GXklrb7e22uFi!*C9Y)&158}D5Um0x9#Rx8=l20+e0sL& zV$3#OEQklCie;PPvD0UpjuirgK}L8>1O!vIoq+gKmUtr3?I%O35nuXw<>kZFO#QA* zbvA%gd}0xYq41C?7zuu&kdXvU-(D4C1+w>R6 zHa%m9Y}3;Ld5o>>FA;0pbZbDn1>~F=WaW7|jbL?NW{0K?e`CCy1YTB?TDoc5FUQEv zp9P0E`Y$yb_OIXmb0$O6O>&+~H=(=745ADI#Wyjb21Rl#-Sk`1e5>0I>86_`xv_FY zBieJChr06B8Y$cu|ARH7VrO$e@_xh&I4njR0wCcjIzfR8{DId}K7>N}&wJpK)FxL= z#i#i|?5Q0w?U3u{55%>>hr@_oct#FqIt^(^tWr~E1`3rEJ1{5dTewdEA0>=~i$_{g zYwEU=b#*yjh;G`O&>Dn?L>y+aGY0^fpwG5P`>ZZG?ar!u!zAASYgP8l$Park7>^}z zKaHss-rW6Wga`#a+q;~Oqb5EnM~8C*5XB=0U~u}OL`F${A2Ks8TZa)M9X%LSo3Mn= zWzHzWy<;DKsy&|Y>H1W})f3l}s)_uESKO#4%ItBsspgUEn6xDA0fHB)U(6XK@xGfA z@_2Wsq)%Hm?}r6*zrx7_o31hg+49 zvX@fv4Eg9w1aRXs2c1bQ>vs!I=q`4omtcZz?rQaZavigI?TXaN5e| zH)LW9suU$3D|^A=aA~76+Nx@r-PZ7_gC4(pdov7x3c`K%kc)PvWA7OwUx&eW>F#-P z$J3z^^l4KS1k6abQ_Q4;4R^U#DwA&Krb%T*U z3%Us&!Mar`Z)$w%)H=I8fsrd1v0@nP4gjnl-r~*_Fxyu+yx?x-ut8gufcJe>@N5C`F?&0za#+pA>f zXs06++WDFyCw9GRb-Fog4`$>`{{A#WHUI7Y5u0aR^JR{ZDylO^mh;$nsI4}tYsN83`UA&zB zje#Y1nlS|m>6)&S$R%$j!oA^|J?mxQ|MJdE4wCQ2kwZ>qbiD)t>U3pt=u-TR(bWqE zpihgtZTyf#wm@0yrVoM&L~FEbq(2XJjzq$n-w#L=@EU2=Q7|ZmX_(6pD5bA~IVNkg zL5s_~A0w4LczTq3Si;R(FlbQ^%oJaKI)K`o#XVn++`1g-{Y-K6rG-O7i63kIC@Q(zyGat|jDPCX|%c$M0z5PttNgfo;K+ z#>WB4G?@k5C{v5}`FI0)r6p6l^NXw!dug9LtqO8bR&zl!;Dl$0iPx)y@1p!Dr?g`E z4fn%^VE2o9V8=bVY_4(PXqh;%RXN}{FG9dfs-8nH?j}G5YQY$MoV+&D^40XmN$HbT zTOw5YL^9AgA=$(i<;atfyuFxKhb9wzXjPtyp?uLX^;@>)S7)MLByl+>)aE$u`N zuE?qwGi8dQM|;R%(tElhIqv-$ri6cImpzMpBOctGNOKkP(R<}=s&-dDXWBvU0}Gfl zbokTXlS1b_5OCQgEn_zC%JE0Zf-41=J^vXkfY^UWV?1tlDz*Y4bg#0wmjICNozMUF zF~oLumn~#Y7r_CZysn4r^(E5(_C%aGWd+7`n_lH~06Q4K!Bcz<1uN_s)Rsx(0WkD> z`~qYA+aSXrJ+*~we=5kI)BUeHPy>|4+qglE7%hDm;J{OSod6FG2l%~OhI8xQ zJlUV;fwdiIRa3P!6I`@y*XK1=Rxb`>Zwj%13QCBc;b&_bi1iD1xY`+zqJuwIPd;;NrDPBOD`+pien2D^K# z;zfrq?y=1^%x2ExD@I4B;Lt${XHc_m*4z#icDM8NsFGI zpao2;`W!s;dUt)rxKM7$Gg3S~5!i%IuQ>dJ&--?IbEi07!xaikW(C#&pa9d{H&NIe zCqRgpT8$9UeFXx#ukapT=_e3tYTg>NOIB7lOY_}2DlLgdk}wS{k-zm{y8&qJ*YQm<`R+~L8CBuC^+XPpdX+oJux zv)d*#f4(FD#QMZ2;?2u>#ZTbS=)%6#(Gev__p@%hYH%T#wLsMaP4Xb zqB=^JsXocfa#fWtZc`l-Du^6VG3cj8+(zuy1Hc)x-;Tg_W@lUdJN%6?JI+7J;cx;d zxBl{VQud`=tuOmRN~x~78`W4rmdhXFlp^oT{2oD4{bJZ9IHf_$lkC0_8ib-3^15JO z^y5}~Mn7{D?F#_@NY4sICBpw5Hgii=35`NLQ|*SuJf$D3KMIp?*- zNHD%A2@T+o<#NTWMqNMJs!UehNnvvw4=D$1av5%Uww5@p(Bx9>RD9{dpIaStI+t;q zm_I3-B_3!7GL3kbfh~|ivo}Curvg-ztVu%`^ZK+vg&mhb7xNgY{4tw{UZE9KyrM>Y z79he?e0O`uP}8qnP`>BbD3W5I0n25% ziu(w(0J#lWuB4yhz33l!h1NfWfaT0N>8l^DTh%yK;kfZ!?a+a6$89{{;14p(T! zg?K@u@@oJJGpp}y4+XrqFkZ9?PTYe(kxdT@B4DoG-s)7X zWh0A!B?o$>!$EHHLofCX}Cnu#xmHG4vkBrM4tDFXCOhDh?9!r=;iz95n zTRZSRY5B-*3$~O80=7f%sUAT1WdR*pkrtVXdH&B8M51klI=oGxiUuz zN^Mo$Z7!O3QzWz72?aDesOYH{2p7nb-A9k=jblmrw%5}>Ya%t`ws9_ddJSxu5#h~x z&4Y?$WHvmKlR9kF?WJallza#`d+&S4N%KNm!rwek0Ul!B*(PEQ?|&zs8js#tj4NJ5 z8=m3WpF%}mG=w13frj*Qg(h&$_VZj+-mE)kc2|VUvSNO4DnNp#cmVvn;L9OIid8Hl zExleP>E7cazDHt`?_aJvo)*MA4Y6mAzJobr2G z2oHDO$J`0CE)2__S)2xKN0W&@MO)YF*UsX`bD>1;0;^MP-f~{RKNEIFn@hB`Vw%+% z3vE3|_D_SkL~)zl431*_o$)9BTce7hNMH<(AA(Ads@t}g#CFCLwx*qp+2EAS&S=6_ z(B_@=8y-0(3ng9sY0li~*iqVoO*h;7#4CjnS z{3ec~Xc97tGVcQCugAFwYzNUw?jSD}l%mH!cj6$~ z)|4WdQ~I&wj*$}s5AE<$B+Ldwn#&0#cTTRHEu?;=NKJJ&I|x=mXH!12mYC--G*?Ux zqjEKf^VWu2UiA|9cqvxhT$1uT$NESFeN`j&7tHyE=`9S&ffSw~Q9+I7wNmZb-@^o} zLp+k(zsviY=8jA_=paO>HZ&3hh$LfM+I2MtKzU01RdgW6VsYN!;+;o(1ACpKm~)Wl@UL`k~m-S*{XLAQUcbORwc~w@$qqi zKuPuG4jUaQoDUiqTCSO;*h&El#tvj5@|u}4`x-DSgzFf~igYu@O+03S9lE{D?inIr z3i}1#R2UMSFu>|hFevCrdqk;!JQzra93v41nM%wiy_5DlmxY7qHjY ztc3wqpYt1b=)R!Txa4bxnt)C%pQ38_MaC=t8z-%GV^CF<%-jpMkglqJ_}CmTSifsf zTg+*00P;3FGH8Sf8vt%+_!hJbEX(_SMOO9`LUj3hm_8nEoOv`!2v*7mgQ1%ZT~!BQ znWHwe5G+*HXww7-c!~27;O?>##qWI7m&gEj>&f?M_j-SFO3ALXTrN6KFdH=I36=nG zW;YF^zzg=)Cu=67Z{BYq$M##HHhM&UBg*IfAfJh8gO34_Ocs}%4y0eNPMLi@1nA~m z&nxYr>u#-<18?WjAxMZ|hAAog%@`=kVy2&l3zX3KhW4fawHCQLb8nSA}NAtgVq z?pC*(_adQJO%0mm_joWF3G4>G2J=7^G^Eu46^#@_2IF-MWghx?G0)i}IbB z7bDt(1f{1}ryRHf?gG71$g!@K->3|s zT~*-2YXxlQPS3$~lanM z<_n?24t7^Ja4Fk_H0i#>tMsZ`D^%4MpMau_(8?ttGqr+(3WLq?6py69S%@CC@mFUF z7QaZB3X&KG|LN7wYl`7ucjONC`uO)gZ}XDBHN%an(Bl-!n$^!pF(!#$LZCD_k?lZ( z67(hiAOFz_K7azi4j}-_MET43-!oC}?Fs=%y?lBjY{RA;C(8F#D!M3l*$c|28Kb{K zkzo`9Q4Wp=PWS^s@&n9U|1qKZSGJ_gtK@6C4e_M2D-LC)YG|8E$B0p?bcCpg zb}#6jV^c_GMmiB}o0d1yY`<*v*gdt!UHiX?`PIWO8_l#}?uVwaR)8-s(kT zN!23G%WkQ70Q0$}@kW=&Lq`wJSZw=I_N`y?RDX9ov);c@4S4Gt`aMmottr)>51Ae* zMj)7_Gp_xoz)LZ-3ytI-94GcA&ieY>LfPo>xiCIJFm8h|VAT}UC_I=R9It-|?jd#A zApGppD)Tm6{3QvAgMg;(z}ex6a;s|fL_iNhO}m*sMfJ+kGZPBI0@1bcf+zkA-1ZlH zT2Y@2X4B+xnmz^J2kz85RQGr*6i8J#V#I^v1p_JXX~zo|Pi#*KNLBf@GBqh*DPgPA40MZi}QpZrs zX!?PzWaa_U1zZQ63TjjOttn2D##EJ}m?7-xfG4wfofNEa5V3w61sbHPKK_Z+d=j@i zOKScdl-1gG%k6eFkXWh;ym((YWO6WCyuSy4@D!t6XiN?sdb)?{{;Dc~n`3n#?nxrIDL*fS<~|{x{o0 z1efe>y5TnxLi(KLkzXzfJ8nDa#)@lJbr%kYqx*v%8~S9D95z}yxT?G2w-B^$R4@*B z8-HU)MF3(JVXY+k*zt+v_)i?_EwgS-<@1x%DMCx4gEA+Bk)@cCH3b2R6agbkzaoD6 z5>mR#?g^GI4=A?M#l&GYt3sw65KwGDx=qD&x{Xqq|M=5H2@8*0(gq2w?`FA*l1LC&+b2v=pQ4FVDtf> zWQ+E3ay2xw;?+t%yRjJR{ua#1!^I&}Di6&MraUF{H)JB}h=zU;?Q7dnG1+a#_Zr}} zOy&RGcrB;$<)l^{z58+Eiau#~=b}&e<Q)0>(%0L2kwON19u2o`g)Q(QO!!f?z1aITY5vY* z4>oUCy|(?ENn9+YlYM@3G$M)+M(LS4!kStJA&lFrmGEr4;wz|76ohazdV`$wHB}5% zB5}MN%bQOcv7-(grDthWplNNUoo3^2l+uva#@JC#>pR=<#p|U03y)e;zcH0k*U#CV zfl9KmsGQ*L=DhT<;-8i|UTKSCkSi&r`kCe&9@2*aC_KgQAatO*5bq9y(8CeQ9Z2_1 zYJH!x@*ZpVA}fq;Qk^+d#VEHG7$hHzF1EN*cDk)a0TZms3-M`I?Wi_05 zZ+kuhL1r*mB*n~aBf%LN-W9-t7JOp)Wv%r?`wl7svqCyjT7L)l`LLmn~vzuCSc+W*aLkBNC3Z zc@5h&95LTBpdcSpM-NMVHQz;={`60z^yy)o^K!CsrfzpSJa%J<*5O6hPu%({|l8vP^oN=r}%;&i~Bv5a#9s0od zd}Gfcsgl%Uum>K8+aMq3cS!97SNqhII~;n`lX(|2YC0xy%MmDbs*Qm!#q;sEUepWF z!kc)v2AtC8Y{YJJxn&qoJemw2l+Fl2zL2b#RvAiMG^61uUVz{LW$xgE4Iyb^+39eL zW$Ax1_FUb4}CZ>&5&*WiNQiM@(SLSvtC z+3Tx*tO*82Pf%}5m^Xs;<~C%ZfdEIiyzBvc6N1;twM0qsVV#$yOKS4Lw{wT*SUohb z6;w>goCUZ{GB2WVIqrrT-g;bX-gZzWJ2G$;W%+hR&X1eFop|`T7F_r4mCY7X+!4$- z!Bf20z}Db$usyu0);`e*iX-x!c<*DMay2WRw#B*5K}@qUd*;LTFu_1d>CWATHKhJO z#GS~6Hso-iyk0vUUNVcg)u!+U#qXoF)5IL>f_%vk{zxYS61PKF;CE;cG{YmQZ_TE_+Ox6J(msqT$Y7N4>e__ zDTppZ(}NY#i{?ynRHzK&r8*TjH7y0HtlPG<0VJy@zu<={yk>BziPlTaS3tTooK3LJ$mB@gGgNqo=6glFG83A~T zm$wHD4j9gUz_|M=m<#=>%bu%Qt@tOn)~#~lq@3aLyVAt^4^&cHCeN3ow^Ot3gzj$T zRGrTkGW?U}96>%dUczl*Dyw_ud!PD=?0?F^si>i+W?%sVl)6U}aZ^sC?qqWM-xMS} za8$CYn3R10rizoPq$6VHKdOZVNbS*@C8F@b`ga(0wepFBoI7ZTsq+L>3OFo}| z?5B(|Nxnt-b5b6$c}JYqGN;s}G3F1|2@}+n?Y|t0MyFAEGyU$hr1Wmy9Vz{1tyh{d zWpgD38d4P_9m@;q6$n%p)C1tJ@D#6ve;a}ths*i2_}HTPgj7J$c*O@fpC`WTeU(-u zu{wv4Kg^Al`-nq)6~cqv;v_YcB>17!9r$8-6h>3+A?oovhE9Pk6DfUvR_~8bxf>)|fH=Oqo z%(?uC-?6d~4Y8KSV>VS&O`DV@!O#SG|HFTa#U_-0mzV6?DqfMc84_jMl35fMK47#?JRXPn`WK%otxR#O88qZ-R!`5?<#g1S3yN7SxaUT;SKAqh$K~C zZF+$t9jS#*EUzzIaHkiu#?~OzLY~ek=s-cRY2PtY(-_ZKn`#<^DYGk?c@RULt+od5=dzM54Y^yOCSIct7+bolP1LD zyNC*VU=*JzQjiP5EdzK=oBRjiQ3gh=sjCMP6z#ODL4syr!gz)g${}TVHq}@uJ2G@$ zVAih)LYW0s0u(6QJxz>)A_XJAm!I^8p@xB{Ud%rnXDO#N5q*;9HwxEV+UP%UcFvsGt|p$<~L3K zD(`Iy|25&l$YEYi8AQgTj1?&G6mO(31zi1Fxy-~TU_{k3k?NL@0)FSDC!Jim%#3)e zFQm_8YX0N5FlYQ|)|vI0!U9NbBtE`qk2Q=e(eG8-b~jkvJMZSD(8=gsk_`7MEgO}I zVY`x7QMhYn&~h6H5aB8Q@^p~BL7j2GA)oss)1*HBXhb>sYIIKj&3*Fq{z|H-`O2AW6^_1Nn!AmTHO3HM%krGTX zZ7HM#n1w+t4Y264`;L;OmkEk#rcFi33}H=o#=Lb8i?dmnZR=?OCN)XF$nq6gY7r%U zUAG(ruS%bmt*#7OEWu>T5J77IEIWGJ+7kj~hI}8@OuJj)s>UO6MhtwKh9>6h zcP=E-oOgs^LH@cLDJMhcKwCN!GhM?^;7gwcFz^(AgYfVrRO?XQ3}Z-TEYy-Vj3xO7 zfsv}_v}SWqCa~G<8RmD8$t|1fjKQKCQa}A6)Ej2 z49O_}t3D*7E#it9<}hECy+c44q#{NrX<_Ep*)vJmOx_mWURfDkIbG-l1as!p z+wXt|4+AK8ig!|w1?MRk*6K;u1dqWa4<2g8PQBVWohht#W`X=&r-K;QGRw~$fgE_N zqrN_tmt(_!^qAeK@$E1uFr}Z{UST-dI3T+0k0RaNj zYEl)vTC_?1KJ6N>ZPy+n+ppvItg4vxIS{Vo9RV^oE7Bey&U3Ng*&4XIlvKZyQG@s z4pFOW_{kST>b}+UED?t}zZRK<3F+?zN`P7gMXg``zQ_Vun~t2wYbpC#hn9($N))$? zA^1ak1YvT#s@agh$(e85)?nuATrzrmt0yf8=}<^ABA`4MA3~4?Li#ZN#<&>ol?jC@ z7w?`tkua;UMIBMDJzdZeOk2z0Rqo*j0*);)4C$(-up2OwDMVH&*Rw< z4=#a0z{q_p44C((ZZQ~_&jcQ!5IM#@t4m6v9CqYOm}j*$lTcEd!`P-mZxETf^{>QQ zee|nbwwcbe@)+qw%mgxB@?(26LG;UGj?we*>QAKVRbH1=^k&^oahI8E$NggJKiM82 z>l$jlE1?X89TQcFEq{@7Vc=eHaNW;s-w#V(LAK>c2*tjFy~O?7BbnOvPqj1R3`T%)Q}pxxG)k0^rkx|DkgppBgLX+{(!yoG@q%`4p z4n1&|M*FEfNGNL#=uEtQEvep>j>V=_?~r{H4E<-?~wkOZhb^)CM;LMB8|OJW>r)|Fyqk~Y&YjY6O@k2jq0KkF=lGgZ#;Y}UC zl$N1+6K(+X{758qb6AQ@ZHkK(%)x+J!HoR(C_sUy_#}lV$a>Ju*u8u;Q94O1)@FzS9TsCt|qt5m-;+4-nQ=1v>9V-u;%0;3tA!x z6w|?w&kR8$%Q2lo5U$9|AUSfHK$d;m#l#|ITT-U}UFDyHJVU^%7Kl<~^GTkZqW1UAxnD;6>!sLj?fFd({`un331Z|Egjo` zAHd9OiSoyspEsC!=c?7?~j*KX3o10w>6y*u+IIuV6k+@-{{up z`G6$OjT~;daBnZt)ZEkNsc-HPmh#h@ntQlnT;W^d4!B27F%1+hKw~)zVbTqPe`tIK zoUa6Fj3zjH;aW0hq2OLj%fl_qG|K15m;*=80n~NvZaMpOAic>X=o`qub%M$BGsUu# zs)}lFV4B*x)DBbkK)r{OW<3k1-7Q@xV9uNbm8ocVgDh`_>mZf1OUU_jk#(U?5G~X} z-M3U&3w10C!98GMPVbB=e^$@Lx!h*aos;|WuI?2O>06%C*LLCZ2&vnD$}=N#oc;lrBI;^|NzfI@RJxxcd4)AUgP6RV|oKmAb&>D`Z+d z%wYMAKt|A6VCHKR`M#R|94Q?JQTR~l(7-IZJJ6pocKZd9c)0XASqWk0jW{w!1da38 zESH=PRi96b?5ybx)2Mp$-(T^6#xyE!P9;)Pw+}6swg-q&W%zcOM)fXCqr!TX?xEz6 zXJ)y$X;deCfhp;Jh{2+L&%TNk@7_$xe?%kj5f^swQrb)XS7s$4kT zPD)CLb7{i2N|*ZJ2I5&I?wZng4a8;91?yjNYns%gj;spk!v5v1yn%^%2Wp!vNm*+?Qm zi>h;`dw@0|Gg`W7WS~Y1lebsnZ*+^O(E>+dbXR=Ont{Y#Gtd^Uc_!$eVqN!_NF00{ zpbPYcy}bFgYDsOZT55}Xknq`%cbXX&nGP>xXRSN^4uLYrZ#Yj3?yg-_w3aL_66}V_ zGylTu1{-G|;zux9*yD6Cb0II)k@NQ96yklN-OxD|lE7~GgU#hayCD@y8reoP>2y2M z(vt#aI+BVSKP`TMd&!~rAv)g$#ShW>O2Fril}BRO8m9X=IEC2nHFlC$k-ZFTITfj- zw5)t;_9U1>JV{L5G4DF0l{Qc;t+^u)^PpF9@E!VY#yhgwTt%g6q3*fO_OJBs!4X`0pY6P-$^HN7P zZ6!xH2|2C&fke=h;>{dsuQM<BtMR(!Yhh-U*&9lVUWSxq!NW452t1XWNQ=`d3$l~Gdu_zoEZQNM- zS`P6W5FX&nIqGn<^|I;ZE-1!(i}xMk-IJ-N1y#^IM2qnxv+e^^g-q%GmI7sr24uyH zt!1%@6WNzjx-FIO>ds!=6EiB^@r>z#8_SJ_(4c}cKqG~-cYh!D@=mILDlla@E!F@MYJ*^u+lgouh^6vH$Kd(CVnDl>LoZ!u6bjuzaM z<<){6+a85WAs^%SMk!U2ja9#KxM@ueG(Q=RIPT5s01O_>tvZ&-r_@3OLm6^OAjxlh zoZL3Z9!pvmdt_V; z|KA*u)E0*`AScPuSk!4X-6AdmWw{Lz(7E~v_$xe?+wnJEM(Yx^+nUa#siw0v60YeK z4oIdwyrkP`TO_@HT_&DS z$E0sO5wU~JpUfMzw^qphYoeKgJncU^!MA8{EbfIn*#nH7|G`RHANSYui@M%Q|L?i8 zMiybzsfg;PrhBW04?+dNRP>~Kd3qn4)GYGgW?^*!-aoCY>LtM5qOUei8V~#D<6}+< ztGAwM_3^uJt`b&n6IK`OPWp|s$b(;>X?5G@Ux+;TUtx8@S7v@GdqBX1*7^Vs8WMuv zos)Mx`K+*dyAIpnDt>kLjdSM-t9J;P6ntgwv)EB#_0B@rJiSl-T={2V^)3N6d?k-b z{?0MSh1Ik^=GRV|`nQ`ah1Gim*dh-Wby(XfQjnIm7!(x0vZ&~%|A;(Di(U*&3RYY0 zm?7fbAkakI%c732KOvsW$eC7mTHED>@RhXYUIJ4J^^;Y>T}lI)F7;;Wg!OM;?5VHn>untSWW9a3``2>eg5x0>n*I_ zFHo>x^#v2AiS(uw9y}&IO)iuk7b)0W_>~;T7IjUXy-C1?R%84c-WT7#b&|09z?ty= z&G-*?3#$(bm=t{FCI07c6;`9wfi}eH^cGV5dn4q$95mPRiyWi z0_+03dsLMT7hop^*afS59(`_uu$rd+e{FZJx%K)>gw;m{ObYP6c1%l^u)6h3tFQB| z63-4zw;QLS0Po)Nc#$S40d~Ra8*aH@^T|!gnIZ*ghTB+OfcGso4-|Ruc;Q#_ux}mRUDPD$GvR&Pr!4~nylIlzzy!XM zhkg5xD+dd!GliJ&C++t)y;3f$rioyK-UVNI$7^>U6IP!rgw11e=W6vSVKvR)8n6rS zzU#=A-wUg0ewP1A9`8Ok&JgK+N}yl?-hE$v{A>X>&Bq#;z-k`vdsbg6@=3n%D|t-r zZ8<2OVwy=cU>ES{J;)dhI>zhToUVRgqdtsd~o41vFkz^DE7uY73bBhL!3I}57|zVhM2=LD$rCTtZ4_3YFRU)W`^n1E-NI^`I5RMT)%W4r6AgsPn zfL(ye)4e;3JV>)$2JC{>&%F4p$b%Q13HGy}EEVylNh*MSIuE93;P)?zG@x2H`6&(J?vg*%>_YNSUyjri={O1z&0X_qtMHHBAB;s|zq0^1r_elC6lQhkkAK zbJzay5&<^N;=pQyCOi)g9a(&%u=>hEdh z!RnE{-V#r7FM)yuU-{zm53d$rUvs9_FD)5-Zu!C08RMa8QpwPJo7Zr&tt-`9@}H3$b&SH&#&hB zd)$cEMINL9dSi9L?u=jhpvZ$a7k(v=$%N*jXN9k%k#&G=@F0)L>)kiLB&?<(b7M7d z6%YGw_E}ZJY8u}*@GjuNH8emU9fuc)dvO$tAAfe z6aFh*!H@sqtQg%(fPJUHCk3nD+TT|^#dis-3-F$Db%!4W*ms|4_0*D$ z!-ds-&V;x7qv`hwtNRMD3-F%S^i;X9`kuno{LWABc7=F~?-ej9_{zTzJ0N262LU#$ z=3)Qehs&N4Fu6}y4S4gb|Is*7 zi^C#K`kiU@?0wT75McKgRu}BfKd*eGPFOuaUwzs*#JfW;x_rd2jF11H$S*>Z?yHPv%}>KQ63(L|9$$mGhqat;mCq7Ov(od4J(ABEA1~CcOU@ z3yY`tvBK3npOjzj-Yww$=R!>Q)$?r+h^P2*0d~PxE?C$_lvPg@uI9gTVKgG1ohOCW z1$(*pvZbO`@YI=Be>lWGTlmVq2&)V5{%AqZ=Y-Wy>#I+z=PM%eI$`xQXM(--(%L@@ ztDhBM7kuS1t6S7k0}EI46kI<4flCC~e-&02eC5ZHqV2-!L4|npus^wE=Z(VZ!2;|8 zyekKPSSqZx3b0`{4|_%V@D0N1A!mZUvZ0%Zw@p}G@Rh57^ZkAS_HzOzu$sr@(?M%Q z9vmvHF8E6C2UAZ7u!o&#weP$8R|~64&a}Gv;+%MjhYPC}-c#xay35AP!SRVRf(!;43+(CC1n1!E{xhHsX5k z#al`9#X^l(b2AP-Z(3zdStrDy3_PqFjenOynE;APcr4UlL+o+o)F;8f6dW^`u$rCue9;6o?r6~jR*C3yKXcfd4}Gfhc98Oz z+Z`<5olC~bzqZH{YF&z?fKggroVGjCA}xo?u9Y3d zTE&^W^h=5(Hmi6^F-kgkEYt=qgqh9fXo27I19(aCf&WL@m&Z3%rGLjHYyyfR;*R4o zo49Yd57QneJ+vZxgpEz4+&n6wEfrI1ULDo{|NfRY9% zw{c-Jft!M)f!vJP>ZnPefP8#1BI5pQ{_>Ke;} z;pnLa*Y-ZIk>)cTL`s}4ffW)DZTGw@ULWt9lE!011b0#|=1Jg+su^51suG)vi7|B$ zbZV?^Y0*kjS!A@}Di5gvxb0IO>jF9gmJD zXaAbKgX3|Jn|Zkq_PdVnaAKT^f|MSwu|}h8URbJQLlppb%`jgDyEya$IsAgLq)K^> zoT^)QX~KhM-UV6G%)AktfpesKxVf<4NED-H-Y?EPPA0wYh_w!g2AU_n?EQQy=zrA#Sr6w#{_{0HJWe@L8`PG@UwjJrn8gutQE-c}0)P1|tF6G?Yb z_5LaZ-`&+tT=gtsZhd7RuV&p=UpU?AaA+Y$-+{8AA`O=fs|~H&v}Oj7lze$myf83}iz%-U6^i9U3khU0;~n8tj;ZMNns8#di5oQu7y&%R92> z&*@0MuKF_KqORjQyquhg=`tDXjyDEfifAJfEHx2Al6h$sp9?TPrRSQkC8RHT1VYj0 z&>X4Ic8yO;bhV-}1R)PYJ;?kmwx0<$#{Hcxv3+O%eCAmwdh7K7e){FIi(nqAO`I8y zgp%O7ns9hDGf?BCL{~Pn4m4{>Kwk#TI$Soo&bgQlR7B!u^;$zT*ZQqyIp@6 zlhH;OLRz1IDgpb|7z5^6_!GFG{GvGH%}aicpc$q*QHRUlC%I%xMKV&ztWFNz)L{n4#xZHW`Y zAYcoZjjqT#w+2$ z_FpAMo~ivXk*Ei0lo15Y!rG{p(bb!|9N^fZFx>m%uiHq;H%3`hvLlucO!9C2I}JD} z6{c#U9xdYen4*h3t+Y^kh;UBD^74&eEOv8 zKyxdD(Jp$=fm8iG=N?~aF-ZBQm@M%uM! zjL7BkRrOK;jkL2lugOT8S0!C{c5a_=nmGb2yaFPz{?*t%<=wcEHu35@gK@airKvjH zheAcbWuptwi`C(dAy_G(=_uQY7XX<0S#L~n%TkfA!{sx@@s-l4BHbtC8>ot@zz;4P zU80(s3*rqUq9ioe_9(=uiW-}%eW(yihPJi(#pV)Gisacu)c(|~ISe!iZa6uq<1xS= zU-mOuE6c9Io(q)2R!*$q>JRvJmriE)0*u~Cd@Z^_P??2tRn@XC{yx)lf+*_@03Vd ziz|dqMqCop^|abF`~&E!nKupMn1s;ZN_0~}`=PlDL-CVeUJFGbLnAN8OOPna+ve5;& znI$-qWSIBIi8V(_VU5{eWoCUWGdTk|0)XO&POSzwGYo3Q2Nhka!=Pd-CMronh;?xL zDBIGHr;(~pJU+3#>XTGHR8nn+ zPxr+W(QT!92_p}?+&+EK78?pYhB7xORXxB9nJz{*{j{ANjK{t4)dzpb=UWdnxpGN^ zQ!6Z$LV}K(gYxQX;02fMS<17M`$sfn#bs5tY-#pFi#T_Y+vC*4(pdOst`v{e{#jE+ zK*kps#?G2C;Mu+IS%YePuww^G&l%z~FhkBoEEos;3_zurb7Y$ars6h(is8GUMBDGG2ZY0PNgk6v!35IlvCd>Qg%k zWYhrQvc2#}48v@4zXCq?>ZCXATA6lVy~Bw*Ee~DwqY`Z1`)krq0d|C-?!S%>o4iuT zsa1eQRRMOQED+zOHjx|$KNcOaZ{}ZOa(IFlH zc8Wcu#GmyFC9kJsXUQv0pYA||Do8+wd&7>%S^irsBx@(C9SUrjzJB4uq~cLyA$QKq z2vYlkvjGYO)|yf(X2hmcELfLt*~WJ*C7^;uSqy?Tiy%cYS*KiI5s~H9t9o3Ob=I~I6;BF+zLdDq@F5eren^J7KP?O$Cl!G9 zD^;w_MB^1=>qy}!54+=WCSrxG4bInBUL$q;*@yyvcYd8x-6PR$!pKhuQ2ZTw& z{Djj@Llek?E&^p>%oW_1x-tf(TwtLI7KTZ#0;2B76)OtTWbcx&1AXa0X8C-sldBNQ zysqpS(cwW|Hd@`GaFHo1#L*W)K+hj;JC#L|w?4(kDI&PMpIfy9B&NNOD z&zCk+6h8<)E+z~pew*PPT={<)`I%>jLgp&0S=-VMh$!4)+D|KN92iu>d*(cxiaTjU z;ZQ-xJODQ(O_aaVnJoZ)v53Mie@r~yC1OqHwoo|x+9wpBOhta%>aLGPidtgt`J6N^ zQAXCWe~qI2Rm$8X=Kumfh0=ViEjX_fkl#v88p-t1(^ZYcMGWhI+_vCL&j0)o&q5F} zi~vmaR}3lqt8qSidewV1N@}XyF-`RN5j!@P4(naoxa54Tb5(%*9mX5|+bCPZ@`ayCrWN+5JyLrjl zbgVtTIIH;G+6?3d9$d6d(RgTb7?!C@hJN!;#ek!+uh=*PmA_6mzh;OYr(YMus1?BM zO=ocLA8`zXs0#mb|KY@Yp{M@f)q(t!nu`*;=lsr9vM`k_s>)HIQz2@AkHco?YmL__ zbHfY{fI=Cz1fDg0A}O10ENj`dsza#Tt8cOxkWOuHbS-N4UJ(JdzCj8%d_@}T#e~qf zIwFr~1X*K>+FDR_*Jwi|7#r&D`d9)f3|mqRePaEZ@nqdZqrBX|;D{WmtNOE(qPvFD zf(~$pEcsMfq?OXp%qgnA4(mCGoc5tBc+sU%$7yp31;f|k&SzvnpU!Zx&u4Vp@4_-_ z(;4U-i0S}=v%(M%x62 zNX35R$Cv+0-9;7S$pIRa2X=21d2rdL{}ICg)jTZk4kz}xb$!l{jIh7w(b3LNmei;6 z;}a$Sjf)s_y%0-NU(?Fk3;_JJR($?B-Hn?f=4yA|nfM|Ws991xBB#H7Fua|s;{L90 z2l0D*5czuB1|oGJ*gLxTns3jkwPD)Ye`flA1>ibUJ*7 z#nfKF0Z;3(9&iVVPr@_R(i#S3P~GrdW8FleR?||lb?bR@Ak|X-XeJIDz7QQSl=qwF z2wvN>SRK%9NiLz^!8}^q0P%!jSHo;tZre`8-1pAf+_yq_63K4L8q}3_Ps;_RuA5=5HM^ z;j_|v8WXGz_1rL@&(K3jrFa{_AZ#-QW2)|{g4=nMer;RnRN%;aSXoz&&>aG7FJ)IL zaqcZO?WI)40?F0aj}}GHk3*^GwOZxk*yEKu8=0!g*$}ve%Qgq|XypP*2n})s{R_^! z9^645+2PNoE0%Wd@afm2Kl(?Xz zL%0~G_@)y#?Nt)tj^CoO7Q{F8xU}#ksGIS5bRK2P+Q?!7DTJ^oYG^7z46imJhF6SN z_w1aWoW^YFQ1k;Xs&1IoFR2nwjN@QSa5*i=^g`k_%1S^ez5xFD6pL+){bI|;_3r$Y zvG7?>ILRPw4znqQhIocOCtJDcMYNtFyam?G`lXvl<+sMdi}!`2`S$rc6YxLXwEwlj z`M+$rlK&0i5lh6pA`hX!b@gxFmW|g|KcDy_Q>dG<%i+|~Y~P2^<%0YpwG_~g`1)1B zLjss1P8f96|t!5$6zMaUb%z#jWA&l7dsrx>wO+YAy;@7sKrI$N;@ZDaPf zBa=#OTSHAKwg=CWL{aPcM#cWWS{-OJK@R}3M`VprwnKNpS<*dOznr-{5*+W9?(+By zTZ?#>luv6Xvc@U$W8|vtU~;+g3Fci)8xR4aFcJ`q*L7oUKea(z;f(2Y?Z6ovx!vPS z{P9^*1+p{hfN&ry!2$I&h#@)~(5UD6v!r#&90}uf^7usn?Gty(6=jjI0zs_yC=S0# zZQx^e5oK-a7l>_!rwo8?wimF?eoneuN?HNi%vrL>5>PxTrm$Ymu$0m5KZUtBoQGR+qsIp^1d8Ogi1 znj~SJq>UeVt)>b74_{+!0{EbU8oa&9-$C{^8Sz_3mq4^c4CJ~e>Go;$J`(uxVe={F zXR3GDl+S`4Tp?1D>-Tkk-Ex#i3GyHg`%>)KY}c|lCxYZ zr6xPT_Z;a*`Ugf{KGW?`un{>3Qz5VDv!$^!e}NoTxxsbS0Osix z3!vlp2GFdliP-#kwk}Z>j3OKkYrp8bUT01Gvs3}W(FqaF$dhVS0#V5V-$sMn_BT-EbB5oC*VXC$F zz{|8vVu^*VTYe4zn1#+UPF-(oygVF~C&%VIF=&6`W0$qUR1VC&Vo_3o$;@x_L@Jv1yqEGlU1mjHX-!9&Pi zZHJ+73zsbnsi7UfxGc|v*SzxHM4n%6+_c+YdNwtW*gJSn+-d0J&F~H`Wmh0SO?rT4 z0gG*gflkd*Hjz1sY16KK6&|hc>Ghz!`P`spZX z`~?CWjBNZln2FVGN|Hnl^&{=9NC;;1&W_7oE}Xtpi>pa*h<=b~;i+cz7*b8<^fb+2 z?f;|k2cZIzey_GfC^ppbSM2jm%g9xjNBcSm?o>Zm*f5CML5JX!Pijgy@6ejEIovlL z&a@vW9_=FafJzq;@&7R7{I9ukC1-A5r; z)`J2?HJO2fqd+vO9a9=N0#;MLOhl=N3UIvHhGVm>y^*xHn>PZav($|M(L=P20FnMUdt`F+XeSVf9171+7ilHy5T9FcHTHD|*9bg(r$=o&wS zKaPH^gaeuw#KR!ov)ABDI<0utVji#c2-Lcyn$ESkFCyvVZlfqDdpO5q+&Pmv&=#(E zczs8Zow;MPIPt^!{gs?`y|?DFr$eI$UM?oIQ=F4ChZj;KJLVD!f)@)5no%0o_kF+( zE}KC4n%WUmH5CNyP&bHi*Tb*^j4IECQhQeY4xto5hUa5ZeR++WokM{cT()(TDQ`p= zg`h03!?rQslI#mVdTN^f8%=qh+vumgLfe}YV)|(!^5aTQJ>_Q_eqe_qvt{b6SBbOi zRYM_HZ~0h~lVyJ$9amlg$Fao4os zTXnTZd^v3?ZPcb?UAf7s5zR(IT-)L`_3vjC*X%Dm%FA?OfnbbcOIjH(*8J|n=pfOs zA%Zk&(_Bb2XkTv_YWcKkMe`e2(U?$dOZKaWg1&_J4sH2`JNmr4evJ%OLCiPIzQH`& zUFq%zRK;yDAwqD~@c`rbe6GIsUxMU!)ICeX@@R8zAOyOm6>#hJkGdx5bLm|d%g?*+ zdSne#l|fX1eRd{HmoD3H!C(cq80V{#&9xaoybCV*&6`6dH74lF2lfg$*Q95g8@lp^ z$W4eo+z0gkJBah(kgQieaAzoF3|8ntm_rJ}Z>jD->R zz0FHJ^|09)lA?F&c1YN*x$e6KS)02x%-#`!s=va#-fd*Q8N7T%p-Qb7ub*h^W|Ser zfe~D`t$)NaFEitx;v-=EQz{up${VZu9T5U-eYNlBC;jeNd9~weDby+iAVaK@g&Iq@*;BzVsUfNif4U3_%;hj?H#L)-%vB%0EZ0hU}mZ_`+60t&)H z(L({SS||qty7@-&ELKND$;G#gj?-9!QNggscNTEv;(0seYFb-F18g&{VLceoZ7_=G z${$qmEQ!y7|^H~(o>VAJ;8vkuOllh>n%^!4Cre1jc|~B6{s|Ly5k~x zh&n7I9~wVsUv8*OIpar>V4IhRkmGsNJby%8T#5;ge!gPd z0o`-P=4$T`Dp2@S0Jg?uTiy_`6D^eZMocqh$^|BjY1oftTfDctO@_>NW>fyY%7{Ds zZjZ@UK9rLrX~J3%4R1{xqc@$8CBrkw`=ie-cYU zgF%pZ_ZXa)j;CCC-Rct+SiS<>)%6XqFAxn~4u;creXbq=EcvO#o~|sR=ccC89=34P zQBv_Oar#Ft*_;;iXo82!-)nb^lPen2AsP4S6jxG{Hz?V89kfv9h9L$jd=%u@DBJp3 zPmr?Vo`e!Ddr}IumObH!`^{&0Qa<|b&8n%Dao2mVR$xsSz@|VrO=tVfzk>{GI&Q3k zH<~}JQPM@3ipHF`4s0z8>8Qa>8Ecm>PsKmt97f<{=(oGBB)QhVd5GM4RaBaqYrPUG zh}o1pz3fFfA9RWT=TT_%Kta(%=-~DUpYmJP%D|CKR~`_x5uwcCkfMcJ%2(`xh5G6}rmEPANaK@Z<^Puu<2dCD zvR+iIiDAGHF56FC8N=vMx1bKaM=~F|MIkc>aQLN}K8%&jXZ+$iB|phsvDKTUEA>J& zq8l&c3%F|aGkpOc%~V>-LX=JUp6L*N*kf9k&X`Grz*RK5McFOaB$Hw$e#{vNMYO#| z6meW;{-W~6?!gaPi@wT~6 zAsW+MEYN6o8(O3)3VR>6C@bU&=USChoBQ7xf>A8Qk4oeiM_&Ndl? zjc8w?DyaTkPT%81!SEpPg3ETKD^GBIOx;SSqqZI^NPADymR)ukdI(W&U?w>VYuO8C7iOR(`*V@l8Zw2hQ~24TBe%081*21m4Vt2-I5E&DVR ziA_iMXwgkH<2z#@nB&M@UB^8Mw{Y3An0GOqnb9}{G_^bF)V%#{;sOWPSo7&Zpvbec zugmC{273o-DMMX(7hNL_caz#=jC>&&&R4H9)KfdY0{V$zn*#O<6^!7q4mJz#gxG=W z?lhh~P2chij(mt6=@N9hF%R_MWBLtjdGm84uT?Wv?^gwRCfBYM{9-; z0YjKSoIAPdenKmw)}b*J4Tz=-poo1T6kT6~>K@%cE*xoD)b94u*nv_+c}msTP9eaQ zubWRIQ+3U8JKVx$%VQp`Z@OpPy%(HQg1LqzNxFl@_-Vp~c=wiE)>LM2Qqd2t{{*M~ ze+L#QGi2n|xngCRPAEH8w3AwyIEC7i(a6sr5oz$LWbG2-1w??;=zr?Jx@p|3#TKPw z5Kh!qxVl>(KMxXkY&iu1i6OO|4j0qMtv$*x!(tughu@S4afmSsiI|3M?GeEYi}eZA z11S;04`UV*F-_Up;}B+8tix}B-;@YZhcOF@nCffoc{XNPtPXrFB|@-a%t9ij#aesf zkO!g>C{QR7Vhm#z5;0xW+VfS+uvnk^C;X;F2rZ0RNW|1jYtN;aVX;2_Dg35Hh$xI% zNc0olSgbvpSUM8RMu`we81s;bse9I*9hhOUj+y|!DG}laV-^zqf;SdxuPa&V!oMjI z!Ukg=5;0xO+6&IZ;K%BQwHzZtv|!9aqJ4M+oJv_*jLk$jAxJRhA<=%k0V4V(7VBI8 zhQBBgVgutNBx1UcwU=79w;Ldj5+Nio+*2Z^&R9?FhZz>@jQij>B|;=%%tB6T!=8#N z01%bVf!~w}0e~?JiI~n|J#`{xSgbP-!*5E2xWAZ%L`-?Gp87FnSgh~748JK6!u?_v z5;2{?dg^A(uvp*451~Ye@{3tWgzVwrsZ26i%TTOPA_VuvM@YnGWNUBwev5TBKA=R1 z>5D%|B+)x-Z)^_WIHwJMQzC@&#VjPs;El!FyO^c(W@59Et0%QgPh*4QjTgQmLxRDbfJ}xJ+vWKUs z<1et56Co@vC&C7WGM#=7ORN76Qu;bX!^J#&o%-pg-_M9@!kh>}a5)kF7jmMXYq5s3 z8b|C~PSjl``jn+BCPPYJhmg0NsE10louw-`a3Vyy)Gbz|T+B|;QixTl=@ z;0?TPHA~mTAf-eIUWky$9^N^??dw9kqmPWM(MqpY_gi-`KRj{-H6UqtkXz>r^q?YB3?=Zt+ z{Tew^B7~vEEF|iWH^509?%!;MzbFx+&*CE_qBj=nnSaI%i**BbK1zh3vzUcMY`|O3 z9F7?l>&C_Kn-U?`EdC(T+4RnO=36Xn#Me?HgqX!VBpS#bp1Fdho1cZ05+SlI<{=T5 z0bX-vD@(s!4=E)=Kv~Q~qI229{%S*ie-S4_99d3uo=S8pOSgQ^i4Z=P6P>RTQPvjg zR+J6&b%+|vi7rry=CgDg5>p}si{(TYsze)Dx_vVzLX23HsL}|r5 ztS3rsv7S8zGc4Bq_*%*d!C5g2iLS;QAgW~P!IvSWM2N|Xc}R2(-T=`LEIp)kI)q}y zJS4i7J!HerVomjizbPj~VC6*DsYEKrwDvj#UgboCRU(>Uu^v7Me^X9~x5|nBt`fb= zQt4?n1w_S;0+KxPE%X&agb6X zL@LERBvJ*%`O_Iup9V-N5dxHA9uoZnZ-5i+sutU6IM+}j#3jW?Nc0fi0MQPDG{QOVipoTf;SfH1#HyV&U_61qC^NjijR=!QM>^n znqjf^{{;S`M2I2ht>-(U_|F?q6hIrInfg;5vwoTdF$YB`Z|OW8E5z2|2^r7`4?6%N$m!MWni4YkSvyjtMcw@0%G@7QiOFx2?5+NWc<{=T*3lf#l z)OI=c21=W~l$VDGo2i?nvuGA_MF+MpF`zq_8R~gaY;2+uR5ZaRyx#>ge zprtgmU5#x>%Y=xYn1`<$gEto2P?}h5*L)2(lxWT4d99wFrB7``uVLwR*kvfu+Idmr zN;!>X4~Md^v<?hE?(Ry=L!uYh!@KA}1W?G!I8kid(!-nx9K!4g?UKy65aq2 z8(Ow8AHZLfX!D%&usSHwB)kEl5|%!jgp?9}yLI<1n1`Guvxj$Mj|Ad>p){sM-}n9R z>zIW^YW>{(6J}U!&wUHODbbckpQl3~iC$q3@4@;3q8Dz2-;`+U?7_5JkVx&@_t36t zv5lL{iJG>Y)L!==WB>qxYNft-loM_5v!)FnAtxNMNVJfpvlX+bQtrH$ip7q z)5y{Z8z7}bKa@TAAm$;_6!!3*<1Bq?Fr<{|$1P`7VjdEC*~5D;Vdp7 z9umnh@RLun5|B0qb0-v=yxqlR-zp4vz21;r{+151ngKuTZt z(?51?z&zyiCVP0F#L{Wl;FRd+cNXlzJS2LHJ-q({mil6lQlekJ9We{@kmzmp@cxHb z>POv$67B1C$v(_OB3c&~+x==A7VqRl`yZ6{a-tb{14KB5LHd@)>A{?Re+s`T(ee9ld>ONl=zY8a zq9&Gpcmt%AC_95_U#E842Rj&1xu#*XZ~XQw%tKB%D*>l}T*=apjzLN}o#;0I7R*DU za`x~aSYRMtfJzr7I(eUC1!f@;vPVv8msyB4Pl@s~&KiS{kZ3;M0MROzevI`+iR2B* zzhNE{;o1p_eqre+>ma2>#2%c5c}PS%mc{l^KSorc6})h-hqfycVXFhDhwfzQ;vtYy zPD;rQOEC|LKE@j$n#j_nm>`kWvi|rq`~!(lYepiK_GL}}o#EH7C@2}O<5|!u)mM-IK z1Zxj#VmRhunU=DL4;Qm^ITF*?^}PEtt?mA&5`|d$MUWHqdTX*a5U?l0*FC(Kr2*c@ zt*3r-{dUa5*L}twK5{Bct4BaeU)Sf9-yg(0B&uW&AGw94H9tX0iTd8Pmew5-RjEWT zur$m&o%OUgXEb0Q5~;$A?N?ZAE7n6k<#hVjPweAFpW_XHntsF5FaHcFB|77j{DNC(N{KFtmTOh;6?^ztilytX z;6#H8V@6>fa$3b6{_`A`Za^tRIsNI*zpcSMB&vnfs$THgpV0sRY`Bq;AYGeFr2!Ya z-QkXFChBNkgpva;TL)6XL6X6wEhB*C=-Bbs0~hN*)D{DN zaW9m7p4y~5{>pITDDu}<{gx7(j+Y$7Y%Cbo0|cP0TrqHj%Z^=9uUTp<7l|-q!Qy|A zl7}76WVGZVL3gIz(mn2}E%`?}D%AicUi?k4cPo^Av?yQLvvci}NiuovpBJQa&3FFj za!#&(tVT%hDSILZK5Psqyr$%uDem}WKnaKibf~HOpgfO4Dd4hWA2F4uN3RI;?S1(s z;_%+)t~vOhl;H5(92a$`kF678v7o;tRw=q`!RaF(Gq{+{)f?V!qs&ca>EN=egqhL! zJpWNL-)}M+Z!PV^@ztE*jiq_Kqv<>i1IodmAbJy^~qtY}Kq#ap`_9$dcDUkFG4yUy@lB z9x4J<@M$Ki!p~3CN-?l1bjIBt1b79QbKQ>FSOYyNV}k(}z)xq;kQ2-bM}b+PJ3+v# z&=r!SzK=#Gyq(MnQ-y$Oq}~JE;IjA9imMGG@RQC5XoIzF1+&8Tt;Pm>TC#An!kpV| zR_F#+aM{^>Yw81FADdFm3LgZs!iRl{cv0*ha^7fGIKk%xL(vDKk#tS#;O2Ood95zq zyC@ulAev zJ`k$lA>aa+9p)7HF>nJo6?AzVYa4nNaol@uHe7OTARWJVKqCG!wMzqkO=)#nm>%Ey zMGoV5HYPb8;c(FKT=#NuyDu0tW8~ zgR7DK3{!cjQMM$30~7S*Rj-k9fTxqn>!fscL2Z(DW6hQ@5({b4!C*^hKT|8F)=Yqz z83dsS?i5R3B+kn5hGMQ3&rYS2^Q&52K7>6lWOSzZ?dm*h?{6w4v=N|ONvhM<)!?*s zjiHSWR?g&3TS{D;XNwKp^ep72cGLUd7B2e$%;Vh@BW4JbXsgYtCE2oCvA*o9V4z5I z4eFlvc#*+G$jA5)WoTn|oPf&F3LbKuAIoY6eJ>{Hc` zDtwjbFJ-dB>p^+K57vEIQwGo(KXBw@=UlC{hOQ%L zB&wUNXa^sk)j5*@+&bV$K?Yye2c1dk%PNFA1>T>w=D=aH>Gdz3^=S zQCj@A*_U+=_hl6wJ}uOO!I#xeb!JVBP=>MCowVO9Z2g8TZZ)X`)7Q}sTIaw`-qml9HEck^InADF1qk!fG7z|rxUzv?( zM;;zjrKB_n1E?p<^}ru4`=98W3~dTt;E^z$Zj`oe-;m?o1M1+N`Lm(0fc&8ikxM9x zPC896!k}B`od#$Yr<=w<%lY~0CYe+jG1MV}Hk?V1P z*2<{K1^DbVT@4J|ERm+)6_>E>Xn3+FpQEmXKN;AXkqhXx>34c{`HXrTpH}{2Dkn@U z0I7zKf3SR8>X}F?rx>S|@}RnW1BFf-vtHo#AaH@pj*C!z>W484^B%KC@7F{I06N9h zpQT3*+~y$YIfuAh%E_63lQJWZXPildFOSKR=81)E&YS43l&@(P0W~%R3L7-hAtzvI z7~Kb=N|_|>S$DoWN3k?AVI4~wbz?3Gu2jp4W9wzOhs%By=3R_E3sf}(21V~}FwcMS zKV;H>a;|jquhGfk#L00QI*uy>X-N&j6gu|@moF19Us4eKE!Bq|-ejR{+vgbX;?owN zBi86n{1ytK>rUeiT;M~*E%;e2l!(klG#M)iKk5?jr|HK5}Mj$$~x-(xASaap+YRcMFhd6Sun~$<>7D=M%mt?Y~DG7ea zxu%vRVAoXRjFroJo`*$YJ{8d-b)c>@6u7}VIFT3R7_OydHyVsj4TuV$@;RrF#&O6Rphu> zdB1Fqw3O`)1p})Ai9DW7n4PY8zZ#63VbrtoS7M`sUl0q`v19u&(wrkcp*bsuN9_FF z>G2wtaWE4G1zqF7e#I-A?GkYTMcc3tV@OF2WD{v5W_jOyPEg70sdzY@g|KIXu%4EG~(4i%;X=>A&CrSNNJ}+5a@5qGq%=PafB21I2k8ao{2f|`U z-<*ikcQBUG5vs7`-3v_NveW%HS`+Y#2|?I+VZJF82S=>E?CHo<$AHVT?jfe0kq$`) z)Jts3Cx9nh_8YOp*qC%YK}CR*PKtr6EZ?`Dg!Y>e^fs2IW1*JWG0EdXA6g+O-AWx_ z4-OyVO1dHIesU<2IZ0;d|l8tk};)GLv;dnm=$W-CenOJ{~b zK#!~VO4a*G!mH{1DBt)za%)#^0n}I_AXOg-NOeX$TstTzWnF#2+e-^bb*m(~YgZ;j zTt;Ptj0T-%Ks&PEMj4x=3+xD}z)Zyg0H+GZdA_8n7JSu;wq1qmy}T6E@otS0`gZlx z@e78+JzVxXFi#f--FgYkm3;Y1lC4?kiq(YELQy(Z5>V3}QnHnr44Ks}7+|O4d#tR4=!IX}$QQK#P7Z5hSkc65NG3#^> zu)YpEfje)gipExNI_LYil94(VNs+1k&u?%lvFy-bI=^RCkI+tcd_>7L00NUcF#lS& zVHP<6W#MuH)%`BYCqVP4`s^v7&z@qIZ|$G(Jt~KLwiZJM+1q%jyeiz;b4OSMb@}tr{sH7SQDK(4D9F#Z2Pz^1+hKa(?<3CY3X#nN0m@6 z)b?MHQu@ZK-zNO-Eh&iXOpBP+U?bsW-^eib~L|1&Xh8-nk zPkOwbk!4TFvhMnNtnA20FNu{sek7D1kFKx8p+^faxW3v^0CK&8Zw;V+p^1_JDtka+4dtj{-d#^GcT`~Lwo9XCrSMb5tj>AJbnt! zocW(*wrF1*BVo+kHHF0M)kqlC?_pfp1M}S>9Vi(U3O>;|w9nF3yb$RqB+=!hvhe*C zWbp?k{c(EfM^w)49qjS>bU9lDJo!Rm#2@igVoY=|%!BA&EE}cP)9V&wl*}Yy=66na z63>I8`Hb#~jUAdxaA#M}fQWVt)vT_A*yT5U=t@tzG&Saz(NcYy}b-S&D%%8u$Rfa>%FDCEA5`rN=!$~mob=%ODva)oRx<;d6D zbaaFmg6pPv<)pmaD5Z8@bp&=`=0?1*jRJw_@{-<>@z8)5@?TvG35SM(E8D0-c6S?D zcaM>4<(aCK&iagTCb5uQNm)QsMA)ePL}J=#!AUxj{h7 z1APg$Q_ne_Ty$nQ}BtgMe-txRs8{6{mbd zR$EQR5u-PLECf=mv$x7|pNJug!XZ6aBhFg98gTEIidVW#1@Z$sBORD4HoQh^zs)I9 z?WSldTemThgeHW2wRYGO-S|vRIIyR>*y&5g(6B`c>WIx)4ZM%wL1?FH0F>7V({?ip zdmbf=dz$o^5v9XaJtj+>28gbI0#iQGzWPT@>zgU$C&x;L zI-Tyx7|S@MBmWw=asERY8>$%aw1T+XG|ILekei#o^18+L=C1(x*_Zgz;l+JM^;8ou z%|m(p7zvlRxc>*2{WYz$+Ax8`VOD%w@rEC8$lwJ)caq)OkQ!a42<^tJE`SKA=C#0|na<*{RG*U6k zAl?p^-=GR)Z$bw-!+m?+fnM)gN(|kK2iRZ|HwjGQ{^Rqvl)N0sOiz?d%u!n-elj7* z1_LJ4RJb^J1DUEC1sBOvF^`G^*apwTa=5^thz zpv)%w&$N$y=M#>yr~!p?hZ zJx-J;B|pb={*d%SFdyIA%j1Pmg6VWYX|Tcqs(M=s+!QgU9zm>uz1aFFA*3j}PR6Kr>UNU6XcmB}%dvd)H!$acHrrSfYmTk8=#H>NT$K9kt zL0jKc15ZA--_#1MwE)bca)fFGyN@+=kVVCwc|S;uI%tFG*wOg>bEyGcHe!K?+@^Af+U)&f>A zU66nQX$m?boTSz4Bu#bRWTRLkYciRl%~pUjwX z{(2?Z^7Tl=F5er6PvXAZOz|0iR^R2z8fyJLDjSHZAQPVj2W4%DXbvt6eHKlm(@_PAOUo+aMW0Mf1B5pA)JQdx$s!qu;OE+1kZ&_ zviV3Uj1@H!)kRr%P3iBU3`R0a_~SFRqYgZh;KSm5_2W^_0qPlUyrk0V*6dBNHG8w6 zjmDLg@vT{ZLOU3W8>;eyuEhk~Hr38#*9W9^f!imveiREeiyso){L>FH3;DFR<%eS# zw{GCFf2bA^d#Nheq8OlftZh@nZn9ywu^y#IL3L@b+?()XkicjJtg~>+YTSEm)>pr( z!Gl2pOLq~UKJ89{H*u*^j&D-?FJJq7gn7LG2H>P$;pq8=m87&_+T~hxPA;X$?b!vR zvkr`?0|)1Zh&pJC!}YxR$kp755iJ^R$zWS*FKkPRVosE6_X-)gZq?pw(r1{qa9he? zt&Dme?{*6)UqerZ^QAmH0x2$q@C~J<=3S|adECIb;?WexVqnNWf1!yX^bTmvJSci# z{juv?*p>R$DC15pd+$grpKSH{y2gOFt^W)Gg(6Ww*YB`14+VyB**~U?nM|g5({RF(z75!dP0sOeh?F(0 z$3`3|$Adty{UDy4rsCtC0N*3|q%%K2;8a~nmM@q{Y8LuLQm9!FLOXL+YYBg(WIf52 z>IH$Yv>IXrdJP+%*sXcZR#4WRCXhTH27+J7(hPA{9re5Asx}D3>-{|AQp|A^L!p=^ z%8Xj{BIF9~j~~JHqs5p!)hK|A&s&4A7nqs9kk_ZS9ejWT`#A$C<>) z!h&qr+raH8+<%A5z65#Vi|C+)egP|=2pg1b-;!LDn8>cqejmeliu`bJ&Z`ceZw$^* zrAt2on{_35-(F`jbbM1@3TrypCGd`+z!@(4Qb_4|q>>55G8n7{nceA6!>(CJ_6-|h zI0rA3+{b?;;*0@Cel(I85AoyIcn0>=GUq_m5Oo+l3h=Hsr|0AQ za=JwRtaCXbvK;yw-9FZ~^uB4N@_vIvK3M*tx>!pjb&2c(Zd}w zoCaS2=TRq!bKeO=>#SB>`AqAo!>t4Z0_I~uy-raua0$E&nW_?)H{0i!N4qcRZ7Em; zqLCdaZewc63m_(gP2&W=_}t1Q?u6*5x>78vpNrgMDED$?2SsK=0%`|mJSnK!#Jm7D zF)td+z2ze*-CB0pXpf89#6)#N8yw7!11q@fUr?r8?!a(>4I4={iKzyYm>NTqjjPy% zO*TV(#+CCR0{E1I_}M{8YH5F68Sc`-g|V{vK2t#DuMIcQP-0W5Tw8HrBUyc+*`{sd zav>E?&Tc6^!9=lI9~hZ?3f&P^Tll=HL8&Dm9orJ?=cEB<)lf zdpNIw6Hg=V9*%Jbp5^@=npbEHIcB+*(WTF~uEPyq8O>Pxi(m}&?D7<#qLw%(SmnMSJC zdXmZZs`f?hs#SRq{WLDz=V`8 z9si@(b)=~>C9(I&lH)%cEhFA2D^r6TcoMpO zGMfB70-WHouhuwfwE^NjLU(2!e+-;7pG?$NeU{2MkN9Ui`7(&;lAq!1Y5#xa=;Unu zWWNH^G#ZQO=ESn0rt2tgE|sADFeCu8q%MXC$0c(NQt4nxT}>$3x}nhOfb1n&@Q)na0mQUxEB ztQ6BFmd_;BGmQ$qSQ!pS+819BLl?e0lre;*KNVPVJvh>}m@wI^z8VedR*((#My{3H z)a92a7dJ1z_z)AiGK8f7nw)^2+rkv7K$DZjM`A&3vQJj;Rb1&s=}Z`Xl|3$^=_ELG zxddK^eANl>4!DKOUXOVfQ>6zjzA*$4t&Q{NWg!r7*03ahx(p8MVMQZ0`$V6vOrQeK zj}oHD3~JUe-Sy}t1ZacpXnU9B=DIvWZnh8^k$ul$vX!YxD^V-1o`TYp9~?wm9vBzn zG0NQ}B10j%>9qaB*GTiCL{@5^ABx6z&W*!wEgeIAIX6yk`EbfGyvZ>ZBkmEhT@$4S2M_kt6!tc?GcL0%J2}YZ`YLc#W;_w~v8^*R!r%Qf?k2 zNJ?E|44B)PW=|oK(T4|iF}@=GRxK_L4%O~N&a+dIS>s@ZKWCh2h2L=sm=suX(WP|E zf6cAbZSuQ*iz!+tAgo#5YTv09fjx!y=&|(UE+0wSuN>bT2)i8yOTi1uA z1f>RCr4ovM4q#Nix6sme8r;z!bA8;rj5xO}Gb-QGs%S7-ea~nIHEoWhqgrpqa`Q57 zrA&DX!ZZhmx-iSZ65+Z&q{Fo~0Jy}CfMp4ft=MH3M?#Va<-wT1PdXlRl>S4e!FqOEvR}zo? z)e|1&c5ox!S1aPAFQ?_ScK(kG())lNT=pL*V^gcaKCaA`dTp-}=keDJt=2lTZzwjk zC>kJW(2|$adN^#9^Fx6dT=pL+Q{HMY?ttYGSZRRG&2r-N*Eqw96OJpUeL*}XHA2Ws zny&-$bf-n0<#q-hd^hCvTB5{mCU7%sn&C}r+5&!~pMYH1k^fb43`ixK} zBHS5l9qdRb+GZ0fms}5O?)n7~Zz&X@;=b(+5cln87{&eW1D~pjF&xY5it#jH$rp2p zt}NjoG0zm^<=fjx&5mrs-%_(99n>QVb}Z_fNIH&GZx4o~Bt#TUy0Tgn@(-WtKgd6Gw=H<&eP)0>>lQyj!~R1?Ykd z>(wW2CpqP=th(-3On^(hL%A0p? zs(CB&P^^e~mYt-$o01Ea!@Ag0eu^iXSV5Oftq`Rb^&&yiPZU-H%ZGp!Ty{|_t|pe? zM4`a^nb#ywC6l8`V>RsF`p%J~+B`dCK^&8s>|-@vPb z&asNjj>;D1uB|4EPnagHnVa3gbdcH(mVXfK@cUy2=7=YwQPc4NSM`5Vc|#9@2@!aM zgQv_aCX^L7H~VW=vHhd+nWAxbaR$* z?NE_d@d2_{R;NYh>zp7u)v=`dZ1oZC$!}?hdPb6iJ80P1LWoN6WH}0fMJH4p| zZoJ#>>snCkV{Eao<^ZWrD-NOlHznO1Jt$^PVmTNIY0ZNQ1Q!zfk)k4DPDt}PjIS{ixUAP;y>=ZJDQet(Z zfe&2vH0IH&g?&vtu98ts!lBCgS4hcvk5X6iO*k58Z@Sc%9Y}q!>fb4(0*CiTb`t-T z*gGNNN_T8lGB*JIDYp(d=1d$(EUgi)RLIXAao6r9B@>LXB;x-tu0Z;ytQEnsm^wQoV4`VMA*^Dy0e9WU~!)nq_mG|({{SV9g#hLm+l*k8zp=; zZ|lkv{iWHV^5)hRq$!!rCFHHG>3k`b(MQth@0Oi02~2BNZ);5{6TlhUmB{IVP>ox& zN7ZV8R$~hb1Ol$uX_K$d#WF zC@R!Y0W9PW6pU?l^1wN&M&oiCiitbnd_Uv&t}I~`3Cx*!VQ?j>2oaGKEvXh#pfBtNJ~M~XcV7QKMY54>Hw~A*^jH0U=XK4)s$$~`K0VZPc|McyC4*8DLWtB z-VLjG*hUtV6rHlF9!O*OL1|p_q#p0u5PZ;{?fMB|7p!0m$#pQp_yfx#mo%Cv%A7Zc~+ON{z-`>WwXa`h>#@g(lcMe>FXRCG2P3f$nbpP*d%h7p~w z6vXryWgDuH>?3fxUf!(`cHAes37&ZC9?ls>+oMO#f-lxiQhp}o5Tkz5{jwb=&L_&{ z&ZIyt!Xs<$6!p2!Q`a#t6rxU1o}4bgjAwB9&gFy_ih*hf=ibX3CX(s~<1AJ2xH_*o zMZXCjg-?Pqa#JTkUh)piqmr7Qvp}iYX5vr_86x{@{l6E4`0Y8jzUM1#Oou1Mw{A)h zsNq5&Xz(6J)sqVzf_$my)!GfV*YVIAw7C-!AX2p%+O2iw#6qU1Xw!=hJnJi@@|vkO z&NO`LDo~!h)j-FBjpQJmUgv!RcvMx1oK(IzBxDyXP&}yBb7N1KAaqnU2Qc&iBe?7e zWoT+PP}}gd_=2&vr6U24YNVl%QSr1?bkF=nNl_d*Lkxn>iwiKZ|3jYS2)6$4lPDdO=*|S}+){QUZ^ATV5f8$P(?t&FEjV5bTwc5I!Lf>Gjwx9}% zv(So>wXLSJ_tHnfz%cgzD0>q)CyKOx92pZtR9uyH*Aw4W*L!8XPgsxjSY6K$5keFX zcDV+X5McR)=FNm7nqScUhElh;LijFc0E3AgIyQEA6jTf%E;IM9aU(UG(w){uR z#+y>JT=_g_x$v(r62qe9tWLu%J%d&7ecp`@l>;(I`$Hhoi>(t z-|a2+Y>pPQU}$xCG>?@vgzh^-OgWr@OJ9P=7KSguMlvqTk4~OIN>%*%G9X%^LYLHo zgDUcJ9@EAWg}$Z}nv2hIbfc&?8z2+LlNPjsSC^87LtWlfap9}sxYD%nRhJh-6xo3Y z@j0quWm4eg><4)8I8LLu97jO3a9DR=9{qMLDSc~G3I2u*0r4rhfOaCm;^>ZehF}>8 ze}uMA&{0HE3?uIwO&#oix+B!}oEZf?YklJSbaj*+LS5i1^fp!3>VIDco zq@dhrl z>P5!-!f^&ki}w;&xFW?!b=czD;jRWE z)s>c=@oX-c%u^kg32`Z*T19LTkgeD`CWSyIyQ#?-wGUU0hbmR$`4v+x$*AcC^=-KT zu2>;z6>Z~;8ppW^YRC+>is#{PbdIUa=+<4oV*1r*Tgj!Lk#OJgXzJp|Jk1C)Q}Ytp ztSxevNxNQ%CQdA9(MC+n`YmKrGAK)%ze`w!1>^l&yjp1EH&HAsCw2=_DGm7K2_L{|d49Je8|KhIO;53_a{^CM>$C}^wP3GnJ!jZ*z zoK$aqf`A)txL*ku4lcUtQNO48;Nm=_Q_j&#QusR3&IfnLm*Tjr)k_Sj@x+!+~y z|1hz2NZDW3A0eYQx|`c(l``&;U;6lbx{c#Xr9>c+@RJ-=J<4hkD;9vu0e4(t%(ZST zQLV5L)#LMKjDz^RnPLibRd=_c-lTk%101tNo&z9w9G41!AEBC=i0IjLQ@{LzO#4b; ziNOsMv(aqZ@s}V6T1GmH*?Vab!L9Ar5?^j0d466fY;KkL@SfWMA09_<`W}Iy;qoDa zIx-wvhyK`ugpWLA99xrjC;75}uK)}asF!ty0cMEk=JVv9>nTejQYN2F-Er*X5J#_OnID_#dr5T;*Th1kZ~$5!rY z{H-@tZViW?9&B{sq-A7aE!Y2HLgomT>?CkK-Cdgelg! zVFA1mJYI1uiU=V*OQw6M%M0edp)fU-QFvNcU0amEbk(41CuRUwLBI;!oSWsl!}x5%VCn* z73%+6D)hDV?=Uq6m;|g_BLZOm{M#n?|nrRx>Q)rxN3J3A`K2uYU_hoC5Nt?bj{xev6M73v;I!ql*>t@_SYVPy|^2=&&3rAabKGmWj@$7PJ z0c7&G*7WlGa`bYnl&~CaoJZ4fGsPG7BZijgsXf`*KR`?@=;l!TlKCGxs^Zj33Tluq z;DFeU{-p!ay)n(Kf`{@7H5GUqw@`GBde|;%$-Juh0fsVBqetop>a^c;arxAI-6WcMzoXAfP_WY~0a$|cxzY%kp*p#6wCM;2RT zK(CNKM@a2mxnyMC&EZkzT~A$ohc6$RdwnL1cRjMlQkhW=Wm9=4MYXMIF{IzS&sYt{ z+Zuifx zRZ)a#WP1f|c-z{58HCAA7Y!*}-vOaG9sJrTJ4?}Yba)#48^G}i9aWG6qEVsr)UNfUePbXg z?fF`>LUGoe*WU}FIA2Dv&>+#0=TqZq&rmEhxb;tu2J(r@ZS{$abg-e@qhMUE?$uNb zP1N?zd|gXhRnzc`49~g)zsxpGaFZ3nn~@=9+SIq}WHDYc~sCu;|o8LK~Jn_@;|prrS0^W^CzHg}OFS)viO zUB(5tX>42ojxHthIdZ|SBxFMGOY)Pjec!3n?34R=@*J7G*vD0~K|&c}rGjdvvj@O+ z@W4RcH*ntbMTKhvP*dI^lk(c*Nuz9)v)DwE|MvO8tUZe&d+Wl?kK z1XzgZc@OiyJxmsS%S+js?Z%C|$1ihLOl|^1rVQeLZHO>&6=&lz_kNK0E`P!oegJCr zUHJ!39&W<)e5ud050q%jfj5XBYfq@5sCl}gAYsI!0b#Kv95fJAXs8i~dXXc&cuKXb z8p?Jg#=FyiSg&Ow=H1s&4iT?~ZNx$ro=Oje(R;TNzv^vLzbTa5V|EAqVt=PX%rxo{ zkr^O($!a%1(3xNwAQ+8a()0gkOn!~j@byjFxBf;7$H??gJhUvL6i-}esjoM~TNodp zVr8Qn0&G-W{w=@bFj;X2KN$uqfN zvK03({7xgIVcDqVymezy9YyA(+<2cBm$Ft31k|UtLxx(2p2 zP9(TVibNBp`i6YTHqrAGSK!N%s*BP|MXWyvdFU<_QLSw^L1bY^4Xr=8v(Jv8Y}Fr} z1c2=G2igJQA`L@)!4BU4{s^MHFEB>5OMNujw(r%6ITx)z2ratIi!>C`jN=ATRKcbI z1v`Nv8e$>GL$jTLN0TdRDx^}jf z&T{8GV_VLOWTZgyOm~`C-nJ}|#su=<&ZNOznWPsITt5uX^bNzf&D~ykkoxZ?%d+2N z`G#-`nU>%+5luT6Gfe2Q`_1yJNb~inq||(EG@FWFofo5;p@5|+<8j9_MtuPxVU_ud zoEP!8-qad}{2&OQ%VLZzxU&|ICJhTXl85fS2|ByUjUT#bkh7A_is5t2A36j9DUZUx zdiU!B#~su~Iqh;%cIGMP_?+F{G*p*a6vb%4N_oHtRmMRtQ5bHQg1}O#vw7*|5H@#p z5~`N{HapQYr5cBi_2puXS7f7TO8I0f^%XY|WAv8=;*5kzRWtOYw;E&jBqf&3gL z2=#7dPtaN=-f{2>0vdat@xrV48=X=kY)*-SRsvyjFGJYe%Sn=`d?{K8`74JIpO8Z! zX(jHK=?);9$?u_vNaxh#1x&m*!e>T9_{{6PdDZd0T1+pydN85kGnwpgb2lM3AY;Mf zz(dJ8jHn#U>d`X~&m)1yJ|fZD2cuEf;~x+%dZtpY&t$Z+uHd)JE*kiZJAW`fA_$=o zB>C{BfYx~6^8Am9jLCf1K7e_I{%U_6grn=_Vb&cz9bAKfq`ZurpISd%yjH#J>~#V*NM1T~uRjGnWf>Lpde7-!&b$X>VpyBUXHPKNGCqx>v#v+N3>7e1S zlt!LtDzvDPQabza$7Jqd;h-N|wT2z^eqtRuXbG}~8`T*T9glhr-TcoWhi=hlf;eyP zh$>My=dVtsWxP@btJyO=o7b{;vcH;X-CM{Wp|J z1lILT<@771gw^wdKj=prbB%I{UJ8Qt9gD$M#LjF%IOw7I3wNrOO02AK&XqJ>uz1j; z{R5BVH35*SZ&6LxG^fsAN2bjeZ1+;bU$atZ$MHMIdjlFc1&dmw+}wz~2!8!U(-11* z^(CC@|96e9l?}lW|rO!h)e*Dp@^I`2G5Be#C&MT7?K|{Z;$V*ubs|5wzR^>kwKuj1z>{e$ox zW~%$x&VXQ8k3Sn%{PufDvhPE|u>Y;N_wGArHnCxFbzGWF^iNjg+_Y49Bw^(hql(1l z)K9UQiUiUUG#Wk6e%;Z3lH>p6DV_3cB2j2veY=-nw0$X7G?Vw&0U|r#-|S2+*h3NO zTzCpv|5UdJgHrXblH#u3?gOiuD-|473#Q4%dlv9kh8{=MX+uT2rYrfYW@}`@DLj!| zcdMG#F>`cY#%m-cR1%q!efRjzR6>Zo5hu1h53H2uQ5TG0_Ej>+`El=s?;Zk3@Hi?u zBf`ck(0lc#CT%B`KLor|<<3IHzxkojl%pU=eZx~>wcJ;gCRmC|iO24uS4R!|@63_L zx*Y^dF-_hEZXQ!X?*?x~n-(6&1oMsD@mUI?+6>;wH4y5&Hs>bAjccSRvasHFYEh42 zB$->bc}+~gzEd7vJk|j?bB+WkIAaVD3gE`Tus;lSew?UJ`%?L3>Jv(=Rr!<0gW`ti zy2%>EasKJO8qTruz8QfZVK&Q(`4F`r5vtovXM}^dqUvCcV(hIa82!RQ~9aeO#zBoMnmv+xqR0 z!M15TKMC7gsvu!`cy!KZDNjNMGpZOek6@G%XxPK!_}htaB@OO&Af|Q8=fvN#DjzJi ze4&JgAKi6{U!rPV5KC9`1O)#d z6US3c0?j#w%GCN!{$|UCq~!`%T57o@tn_a=KbI2X$xB(Q?kAqZ4D#;=f#$A&Tw=Yw zbY$VQ6_Yu4G|IBQ)b5G*QR9 zodH46QttWYw4X@Nd?0&iHk|DFb6Pf0r@W*lBFW?MHytKIS(h$au?qwN>WE)^Q*b?4 zEJmz0mw4ICEaqwWR>QnQmkvCR>G)f3dcx}Mt`kNIit-xSqi{SoRC_L*C?0HR4R-pmvj*y7lQ`s&(}Rv%t0-IAvQ}w$j_@!@HTbIRoE==DMZ6hC;(pV3f`13h~)p z1x3EC0n3569{->s6~HU#Vl*<$lqCwlGO_wk3M*Jkpmc}P1O{z$FKCyb#zp=T`Kv#&Uo&|R4{_jXy`A)dlj-CZ21DEZ4d$7lofEr5B ze1h|;p}b}@@*breXT;o75a<`Zlg`MDPoalvgB-{eYd)2Vv8MMv_4wSJR}D__P~5V3 zQDy80c191|@_<(KGZgy0g{=QFSRP*}73gjsex@CO-b zB+KVdAk7~J-N}~3e?!_7SV~=qne*20AjvOF%#*ZH*gP}ux30PPDVR;6?M$IzITI-P zdkQqqbh?tQo60g;xqF@Ff%rb5d1fSQt6>74Pp9BN2FW^8fIUIMWk2fS^{ac z?f0sB1C8jL`R!LJck`Maa|+?UTJ?Xv3#9kXy((HLSVko3j{V?G@Hjp&-l*?Fcq813 zWJ|gt_T6x(8~7a`l3uEutRFKwWWVJZoe6kMqSPWD@B}VwU-%PTk!U4TH=NV3}SXRG`pA>?M>QTSH zwW=<(n~L8|vG2eQx5_!Sa!D*y4$dxrkh^6>$2>c5=TTsep}`M;4OS zlQh;2Y%A?0?XhTE+k(g#i+jOG|{g2H^%~tkF7X z#v7v!keP1?w-XoO?mJ-e1BSk2xO+bXRC?Mb->1qh5 zy2c~BT5GP6VsMXiwVcb_4*Y~<%_}k-JE5ZnxwxUJ#}V!=v%1Q5s9_!HXs}eal$_s% zu@3Fc?@4NgH1+sOPNgRvSiU!uHRC04$(VYzRG10MY+3}fa)*>dM)g4X1oD+X;7PF)>tWHo)YQ0KrRrT zSzah&5xShqXF5r7a@hg*3E~<|j1gS$I6lSS7!${UiL-i=L(dtrpa|Ve&g>gkEg6-G zNHS@eeaI8cs%k(mk$EAw6kXil?%#hdQ7?9h5*>up&`JiCBS{yrH(tq|#I>;R4}?vysZH6hf~5 z*^~ABpborVP36$X0G1jw$pFMsENnRekOSDnHX))hvCL7l9DidI8|ng4AovD|B07(_ z+Iza1_MWM`v~;g*VvoyLzo$n+La!`Ia?w8MC}N!U8Di4; z%ydiH${pf8K0JdQXXsy=^3Rztg*#lifk5NX--o!^IUS!iC- z6%Htf3ZL_n%k=SadGHRY^Gm=*QYg|@j4qvih%B2fD4T6F&!Z<(x(|pq6B4BvyZBhq z84`jTRXvwxeFx;yZ0g|U&#{)8dQF0k73=cuMsz@BBiwx+nw88^-FzbCXc2@gxS+P( zO!95F=90y>TjC0*$4=|gk;_T)&6#ins|EQrkGcPl>iYl_9!H#Fb4nV_^(e}A537Vc zb}S#Q-K#}%k7a!_-Y;?9rx;h*msivhCbhs7Oi?Mu6Q3ieE!_!)7nbc5=3!$uHJ%t2 zuDJ^iIyj%9fpNt2KJlKom7Re>yH;Q)LqX~N^N9M9SCiBaaz9+T>D#D`Ah9-Habrb%w>(HBfA=cPqJk zZ#rLitXb)QWh%fYjN#~l97o?AcGZ$EwE*N^q#PJ#vpW}`QZ3tBdSr2_KA>q0{ zd;yA<71&oH4I;=V%Vv%sT6v6x*9y}CY6LXBFH){pw_hR$gih;PJgP=J5|8IGUalTm zG@A}}l${K5U1uV@bPJNsR#%W)7288NS*xCo8+pY(d_%^wz<;6(WKW~k! zjfNdZ-E;D9!{On9h3}-XPHw!&d8twIF!OK&#b%O+YJXBjHxH*i0MTs^@H0?-j$s~p z(|%JKmH~|&Z5umN3KvgU(GU_vmv1D^sbD~A-lAnv@h<}|;g}D{idMT9JlYtqZZaq| z-4Rfo2g?mZTI;TCU(vAGv&biKKB=vhexEnbPJ310Z$ue7!=_)3Sf$+)|TT z`ibm*!k3cz|F?aJlG zZIO>avIS$4I{2zT0~9=tZ#qN5oLpx?^$N3qP7_FIZBs9Qz7>hr4gezIwtFPMsNdQ(wbH~U>l8hl~}RmT-eg?o-$ z+V4z?&2@ktTZsfUKDHgl9$SQ;gaK`{jY*K*X|cx^n}n^MAt72ns|S`(T?{?8mgGF% z*6Jn2OyNaX@V1*kP5G&&Y%qw->cwhI9glfT;E^(g98LlgAW1|w)Hx(&qav}|wbQD4eTEY{xZhbT(yx>Xo&x{=Y;fg3b>i?*#ZFyy)!PNt<9=(?o_ z{jH4$lxVP``bJN{I=!R*W>sxFJ~rS_Vs>;Ps+o7$I6v$K+vy89CV|j2x~)zdw3VFs zBFXehRJhLc5EnNUVDm<@dY|AqyNg&jDSw*x$EbDp#dG0~6MaE85d%NjanNhtgnAPV zZ}QH){;S{9W5}Nef zxdKK>!r9L(CAH7;aU?;# zvMK(-AQQdD%&6XjztJhCg)D$KN>oR>aEU|))=8XEJ)ri_hEWZ5X2i^0?gbzlZp1+Yz5RRA7m5@Xf?tRG-~EH;2Ol&j1+<~#=0w!BvDKR#I7JS}`qGGu z1w)=mds`=8b1a@9E%%N|B`e;W1g3)AT<*)|OVyhfmqN=bZ`cuV;SGH(6E`bFy$oN* z_2ThkrXo6+|I8 z>{Pu*a$?ev!=&nnU?Gm}m}Zy*n#aob;~h!+J41rU#|S6g58 zqYHR<262*4EG5lP22%NA;)x<^f`+0Hoij3!O1CDSh-V~J6ttve2VhhQRp4~>89!nRV6eYN;{K0c;(OT-BMaKqdgmLd-v+-aaX_$zronrJK;6(I1bU* zal04Wi|Uz;(zc^tkex^4yhzWV=a%A zdWHtyGTG;~d^H^tqpDlJ!<8BdzM5!BwX|>EGx3w1N=OLSn_jFsdJ8#z8&Betdz+)` zj@3QVv=T)r5;H@DzJR3v-%+IhAOCW|By7fCE&b1(KNr^toJJTrd^xGU+O0{| zzm0_5)mO-VAzC|{kWAr)Vwpi6F}_k4ULZ-K9d?2S)U2ERA*ub4|H`eO9E@sBv;N?w zg$|WW!Ahn_$ol{a9!IV-m7t9q&0d#(d5Em|ibq}GVpgEf&*kns&nSQ=Gb)8+(S7&8%xSq=x@49pu7pvx|LrfQnracssZ z6G%;0UI(gvjhTT-t1mX1fowXDcBT~WaIo?zn1NeXl9n|xgypP~v{dr*AibtA59tyY zU5BOu6D!9M(hw`J!5`sq6!14*ti+2{Dz1EEG+8~Gqj;$D>u_9^SC8_zppS78<_!Ik zeYbic5V7I6b14{a>UxJJLxk;gx2)u+7PMUMEnUmeyR@%(QH_?`YNta>Cg@9%jHyw}O05QT zW^rK*j4tBLZ4GY0j2?NOm|Y2F0VWIgc4Moi8~1iTH&?u*FJLm$f}99bE9s}n>|NL@ zYquaaSN!9k78;+O(wu^-T@V)@m#h{Hc&0o7fbcjVu-)C(mO6hH^`w`nN3VsZ7h?VJ z(!Xe1OGtJK1Y|Q%qt0SO6Oh-=5pBA zG91rXHQI648@i#JZWfb{F+n>bu^6j_~~7TmF$&`Sa#1O zz%|$(`VGK82K)DeKf>cIga2~u4`y!h2K@s@^dMT*a9)dfrNfcHLW**q{?M4wvV*XY zq8nh~ah{5JJU8Q>)vuwqc_xrH56>9K7L8V=#E!Oy$LBTl=Y|vNT}$EMD{ZRyU7C>o z``Q;OAv1*fGI-7101l56#5(*VoZN5~q-pRb@ICJDcPYsp=*RmW#~vS~Cak)ZcLCOe zfB=tEUq%578FYizK1hWWw?{L9Ou#zU1bVoEg1?J8M zw}C<}j;B@*CTm~vd8O4aN{QX@lFHo>?BQK@%ohx=@fJ0_#&fySCR1}#+<7|1<#q|= zUt#}?>xPug|HTNh;2cgSw%3d^ELp$HlHxcIjF)=|XdvAnzzU4{A!VoCdxV^Ef2wfa z+vS0teIdz%MS4`u2p1Bb%D#Dm_djaXs@RKE8hWzx42ml(8pN;0bfa+Bbm$v7onJKV zs#sx1W0Ti|e$0ZV++`JMK?}JbpuyujlcKV32Wmm3)o<>eYl9~8KLqNVS0xg;L1~$Y zR$52-ZQ2=w`c9&tR0KtPVhQ@P=Vg0`bl^~y9OLCHe+t6qi6fuQ98 z29L96XCe!j5e5si^vw~ZVH~$WLu>1Cfgr(yXcyB;?e!b>7K&~1u)9)eZCS&c87Zt+ z2pm~g@3ATbZv80*eJae?bFm7}v+?x?XB!u0&R^hfJZGy3-6Ea(JsDX2eNanO?@mZz z%Mb|HoRjk=TdQ}&{#gcnm6AEGSUEp~=OD73%ZnOtIcNdfoTROX+a6ba{yR0Ldx`>xKEx(34iq_i4R9~$R@Vdn~$(_9@yuk4wt-(0P0N{H-f{>=m-zrRW^|~WR`U+8c*+{I1Wv!s@!49xr z8YG(<8)%n>YpDER(!PpB+J*a}jy3OQ6Qv#RuFU#!1YCq!HDg_YW7jG}rE#8r0$8l+ z2Dd^#f%_^SOh@W-TG&J|3qlQY8OZlvr2sDu4mKq=DuTO|s&?Av%Y=TInnVa~NYut)12jlSy zwelvnmpU^w334Oh~fZg*VkV0XRI)3+PKY=>kVq0a+O$ISx%-MUG5W z3~jz@x5uglhE|$!E#$n=q|+2Aj_%;&hfh$u=3 zEO9{Xgs0|TL>6A=%1a9`4aHN7FV4}taMMo6W=iIzA#xal>`fs#`2cgQ_kvlsquP{N)6*BKD(O+C8io7ul2wHORD??T0j;%Tj0;?9TX{yLLchOH#?F3TZ^9w?@z z{KoirT_(^ITcP!TAo@H3R-90?zIuyc#X&Ne>7YO|M*#{v&dWQK2{-*1oPjYr%Zb{Y zhL{~GuDTC?7NGsv_0@rN<8U~>5>2d4!5idce&T`Lk7~AK`gT{qH?pCd8yY`ql{d@o zT*YedoJ7>hVZ&MP2XBSPc?EqtTS)j82*jqrZV-rl5W=z!itt2bOuBM; zo0oc4bVI&wUNoe%RrcucxzUi%XhqA3jmJM@vm4C0;JAU>4_(ebLL8S3JM|Wq<6Li2 z4A57ip&m3@OFQlBq}$Z@Cjl_ZO?aHYGl9(`1sf?BIhCOw4DSoW;BnqahqEn3*E>_1 zsGE#8t|gT>KF`VUajWO!{ljFrBTu|$&=Q8MGf~^e4hKOAhSIeD9-+k{uGbXr^?m$#tlew{;1wd4 zL5@7mwmlx;>>y+6*v5g1;G>6h>GH$o+R(utH{2}otfPu$( zYiB@+O_@ju?cIkYFTcwje&Dal;480}WmLr6mAlj0XWGJerscpCB2xn_lQ~f#D(7t! zn(+Y@ts$(uFr;kVp&sN&51vqMpBjpEBz!3h>4QR4S@YB#3bV{+^!Cog!ck#_>Ogfu ze=A%qe^iLM$7~G3H8__QtrqwywW#2Z1T1)*cNkQ1hgm_-9a}YH9I2_`soZ*rT8MT` zulEP?Et4U>Gv1?X7f5rg9P@Q9e{j!IOxkLlvQ18>25-F=Z?o!_;%(NRnZeHzVN+2> z89{i25cnsQ#N_fvWsO-X}Vbax`KqhEV#|~L*w)D$+Xs1`DQ2)4OERy=F-CX1Mw4ygbL2PAl$DEe%ekV_QCuzs+)Uiz5Szv}an ziu#v}1+Jaddp|)M#lgBEVW`Ooiby)|GF3R721YQhoTV|qG(bVf0yV%_&3}h1d^ed) z#urw{Vy%nckzF~A$FhQU!cohIfhpc7o8fUHwr$Da{OUOMD`TogDhbR`BP3%w}^wW}B8!}a36eQ0IT z@Y1DSmRR9J?wqJ(*eHb*L+lriA$L7V^RL}RYCb0#Yl-*BYhuWqB3Y%ikSEzjN8gae zHgG@y+hjp?!;rh#q~U#jF8AJWFj8!q)9m-r!bNVOY5-T0Ermu>8( zDCk2?<^rZxgIk74Q{0!#PIwXi2#*t;Bb=Q86+iUZ=s7z;2G&mCwWgXIjHnG?%KZYG zK$RO@nd0#1f=7Oa>X36PifW;C>%G~n-emupy;-ef^^KYiM6ez51inzzCV>6G2L}$L zU;Tz$a=oYd*2gpD|IG^ea8!u50#L{h0@k>tUl*ZP0G1s)+jfs$O>(i0* z?Oo%pFRHC|6>j3f!q^3+WMxlm1$1_bJx$RCr3wt?m>z7d&F&7FYuyDiu&wGTDsXr9 z$&mmjaPbsl+W0dk0xe3q5TB6&AwDxB2=NtXsU>Atxbi-?o0LPenp#Mh-c>aE7`4yZ z=K_n#>D70)G)*8a%l+w8N6T_0o(QCxTm;>S)~OqbCCqihBZqB8^m6H(V5<1oo*sqx zkh0>`0OXSeM6<7SzM+Vula}~JNHzieJ40db4-S_} z63^Utg3Dp$tzNuH5(FEFjf@@wG#@%~Eu7*@$}J14GNIDQg_TK{7y?w33YPU0LLdk5 zmkwF>0xsYs@UHIx|7H9ipFZ=HF4vuMHvHdn-3Fb4Q-2Ej!%kWLWZ}tY(38bRzaot8 zB98uSM*K}-^sDyKLpbc%mVb;EMh_K6+bCIacK^Q!qyJ)zMxr~>-TdSQM}*OTwU6d0 ziBI3YKo~tt7!6;^k4|i!e?S;L+&-G0!Owe+*dvS{A&jsykgB^DB8u zR!uu5VvjOLpKvW#Z(4p-z%CcCZELyqtiK%>MvoS-ZKK!y`HAO*(GXDZ|E7EWvoMX+h-rmujR&f`)m+Kj}<7f z(YZFS_Y`iXMzZGjTo==AAlh&)&+ zjJAE{u1B`57ATo4Py(ZQx_AG5);3{um3=fn-`{`Pf2uHgia?2t?mgZAZ;vo~>d8j$ zeOTEkjQ+bY+D7-jsZHWq{=+_+r@MXq*p~(DcZ|{cTH1K<$J1^X*Rt9^n#ayOeCiAV z`(1$&+ni*lY!P?yGy&VTmIv0&I!(ZyE@0b6E8Y5EB#fRRVB08BAG%n zHYeoNu(*qB1#H{ulpgR^3)pi6Y#7bYAY4n$7e>z&utiF`c3E{~f-riXfNdMywQQv* ztLEFWIZC>g-T&^*0``A}(IVYlPksAYk&+MXqq+I+>R5HIIN$#hM%(D_);0C4K*<7Q z^ogWT*VFF%pU5W*?W1{0y8o?Q+{KH8(c)TmJ^hQDwg{9gKH2CqPWky^Ve}GVwCyX; z{KIGKh0!0`NAs(5)?1TT3ZtpP0yc@M_3~fY^Yf?0lO!x)+rIJ_r<^PDcb$DSPsus` zz7=9c(Q_5SKCMPD}Uo%~wg}iZO8VaZwYVF; z5wLBe`@K1BtAPFO$*}*h{PF$5=+_t+~z)lLIZFE2A zkDe!tZaEph&=d%eKb!= z|07eylVp!D+D7+tH$N`U$zEf$t`*qkWWbm+rV3xVPZ(`m%jZA+?lfU^yFiI;^uV9z ziE?nifNi71bJOsZ0``vrwr%v_H?9-0GbbDE{Up0nz|IPzZFIlzQ$vF=`hY;UZS;`e zza`4Sg95gV?w4MFOx(rF$wt5Y$r%?3l&B}8Q&+{K5ie)(>?Zv%zS}vVjs;*Hvg!Po)kuxjL|2Q-)}}%Ok>Q3jY7H=L7YBA0dn`6R>S` zPdxZ+tuXpj`)Ga!CtcrL;K8mAVYH3zw?<@c7Oo`^EvvrY*8WEVuDt`t9k9~oI6n0<-ONrw`|qYSp#>)^eEX{&WB)CkEXG@lVwjz6JwDc03>*v&zp!C(?x@S zq57Rs_U!26M05KClJ=yUNgaR0FP1%vM{H%!7?lGlCYN!9MWJcb$Eqz&Wb0>qv{c7E zs#5HTY{;jvcM&8fo1r9_D8nN*tJ4fO-`vSK4rmR1jUEvBk3*#H9sb*EZ)K6xp46pQ7oFDu@lSvRkJH;35ef<3 zbvB03+Y9FHZGx)5&Hs#=2i)cxP|mp zIy#vgpTbi*<>}@`X25PgT$Emo82FVj%n zVqGcZu3nSldJ$I2jS&snyI|aNWXzxax#l%Jp~X_XV?j&O?=xrm0eICD@CtaGLr(ar zf>A9r8wR(CnqP$3hx1d(qC)H4rjWkwIQwxQOSSu}%Bvw8-=Iq}Ou<$rv zqVQatBeXx%)27#d4oynF_9i2nR;y}qL$fb0_S7lFvQ`1JBtSj_Q1CckHYqkY8pOpZ zB^rRcG6gZzYg2se)_@iVginso*52;Vr)gz0uE{Sl-BSPvkMotzzz{QS)OBCF94ezV z=iRN1F(qCRtUjH%xyop7ClcB8@g)Jbn^s0sqh{_7W;U>}!dLMHMnc`a@JD!@L-DuX zMjiq-#()522=MDdNH>zo_RLRoIgYtgT&Xmuyq1mg*&Tpx&dpyC4W>nB#s%;;2-}Qn zdga?Caj1M7iSu)FY|WeXY9gq;8%}v$Sn*XWgv|0X7}oV9z`^7E>xqD4*whp%zRq7l z7S_v2X<;~)buaiR0O5$NBzxPMIgwBz(9q50PeE}9c$sAGAxw|?1K`5r97gfEJp}QU z1!X0|&uG741(_)pAg}7@HUiuTAtqmA!B;ld!x7M!>p#LD;c@FCR*xL4~1xZmwHqgydvlkLfJipcgPNNgzUtaViCcTm#}<>k@~W=XExq{=0J}NQ(vTrRqGA+Iooot8{dy7 zpiw(6QPLCer+y2u*WU>T|MN!dwbzq2vlp<42%F6}Iui=%=vX-pWc8M=q@|k{lv*6& zQ2&-P4`%6D#pp^|btpSf_{7^W2np+hzp)MC!`~?H8s)P>QMx-;o(FYqe!)@PzVF9y zC?T)znbHef#G+#HECx6h0V&(UzgTf-$;d~SNw4;N)AgS#6G^Y_d0M56YeMLcEl6|`{RC@I( zeh8IT8-9K!P0A~`g<~NzU2hD$jBVvNjh7+qv|vAEj3|1&#%-HMkTxOPd%&W14<_Pm zZIdSYbF{yJnz3Syac8ijWgJDdX_c`ew%UW7k;uoS^5dkOuBeQLCAFgp+H~960>O z%dZWDCX`>r*)@s_w4FwjqO>dt3Bv>BJ_n^D7k-Rc3y%U6JkB6u;)@txKnj{(U2)x^ z??`@|>?g}|$pnVcv*NeY-;n)D<;XY1Xb@GIpg(O^-^9}IpyN_KcM<{vtd33fd#E^u z$zZ6HT}`TQN_nK}>muP)^%Y4i2Z8QfGOL;u3;VIjev5)KzMx4K3059#^(qoyBZhTv zoB-XyT4zR;Kng2aWTJ{$ft8=^zagq|_MxEzkMnK(jZU^v;yRwC3e$|&pv3iSseEJ2 zXthx4*tsO$EwbN-MSAu8&9e(6-4efIoyp5Jk_6c-@|I!46R%l&Z?fuxuD)* zO&iUsX;Tf9G9I5|d^j(*r{Zsn$Fa{tOfOHi>!#6U&yAee9-2QEj;ZqA8(g%FU?@{z zX&ZcY?B6?64=FF?LXnpK=eqNw zVLE65^u3=Hv%y^RfAg4_6i>v5S+j}>Kg{5I*O&v?-z*)gkie`3=sOjJRKXy>Qk6^V z3yJg^waqthvYd@%)s=W~?fj?mtQH)2;J>rNh4jt^k>km{DMucFS22BITIcToT{mjv zvrIjFm`pn?C)#&y74(*zOnJS=B!elZgA&p z`7LoJ!L^&iLx)YuzYr8AU*|$nQbzGqU4ENFO-;?WG1oBKb8a^K3w z{1R^8_qtKxHJ5hZW2w-17P1{Pvoj{9e(DL4OMY>f)Sv6~`36SL370C&#=*zmlUrO` zdEMDzDZT@;N`tPPsrK?&rL&A_(3NO7xuAGHRwW!%wrci1QoEmcNxZgQ%eKwiC;QP< z=CVq&v6yKDuHgutZ4zucr=a|tqEbb7%WNo2*zzA^66Bi#9_?+c*t6*ew-VFxb7%GS z2a*-Z*^*W;H4vWQ|Cr$B3`3=ya0zxfT7chQNU}BG^Hsyg>Seww<>!M*zjfB}Ig;<6 z2vfz5*pPQQdjP3@$?uhF2dR?g4Zzc;8 zywH^UMU_Oy%+0AFmPLzbTtNliwyy0=C03e4t#(M+%KnGR>i#_Hf)7~jnqbb#0q2v) z=5z)G6H>31@y!eusqy;!(yZr-q1{vrL)v9)-B=$9&wMIUSO#gAIle;_>R8M&n`?YL zt`ODgv8F4iY7=0Ara|qRX`C2tuWn+sYf>&#EaE}WrgC0qGNG%yq-$)ua->}&eyROv zq3GUw*o#g-%QA!XHgk1&IW^xP&@>&P-;^$-R;_=BYh{ob7fRf! z5sQ$21}J!(|23&JnI4q+dqE$8au-`j;QFMT^(@YMum2`RuxO@P?xK*0TuV!LcU*mq z8xBn~HxtzbCRaW*(M_(0Vj3a6X?t}3TZhS_w}cu7gQuNo6x(vS1C|1XIt@E+{&ynG zQd}>#wPXi4;37f>{J1q$^@(VO`tE07DrAcz>oz5mUg~5jS~V|lBe3IaA;KF*NI&=^JkCY%UsFND z4rf~AF1j&h9|9P7oQn~UH$cE~8`7)O%=mmQ znfbYB=`|FjXeeEIeJPpuU}@E2%xYPPCE3_%wuHh8Qv>%Ev_b$lnV>>I%c~x!5YY0n z6pwYJh9up5HG^~?DHgSIcTp-bHSHsVT$7djZbxbBHYby({2qUHJQOA=9z2Ptup?4k2iTs79^gf;c10 z3tUfe+4&9!8}=~LiwhoIzK)cZ3wlVK>MIs?x1RR;S7~pqe`4`owOAf5z4xuVmzlJV zTarzA#CU-@<&N%|2>SM+jNJTdz90*(5gP*j(P#)bHo)!2asXueF-ie#ydhy`{r)`RN6OUm;ewEAf!o9$D&M#6)S zJm}9gg8h<^GJ;o~DWsp6pKme(82wo&d3pvEHFctC0)C0 z=v}Jmn+)!)x%aa|)Kha$GVOW`U%31i`RB-@uMTFzps+EQR*mcRT=3YteHx{bRM*z0 zBM`XFItG8i&Gl#WecZHwZ!%gz<@}byTGCPwr1HeJUrJgwz336mgY5w0Va@bG;*}!v zHO1_p;Goug53go<&2)B=GQJF)tnQKwbb-Tx_D6!bvMV`S%}_`1gYB-}sMIDH_YqY@V1(VUyZ|lChkfT{P0=X260=5oa7h@l90X z!$vpmE}?SBjPFU!9yzbYYknw(+iJc~=1`?E>VP*uM8H|}?^^$In0RGy|6lBKA^ZQw zXQQEx>Xn{Uz8r4EB5KA|`tkCFsXqydB#1^h;S+j=5OwxZC?0WCkmvoYzBGzQBvV`` zHoWU#n_^{WOz3)?iBeN-{(7ifvuHhUs*P(V(RiUeG3~|_>|HqH3!%7aS>oPh>d)t$ zfq_lYwKJsbh2mB+%AqxHA_cYoD|tDEt{s>+Y*x7OU!_DWkT{(3V*%Q%CP|jSLG_2( zgI`d5ZWBN)V?}QUIb*~`XnQz}m_*+L16P@Hp4Pe@*_!5?Qng98_r^NIZ>D1+H;`q>OSkxdR%o zsi}F#W3hWJKN4zxY~!v&JSx-8vj_w*b)G{FqC1GY;VxdL%cXE)$DDW5L`In&4;4%= z3@_8yQ&6^_;24JM#jNh^U;j(!O?5sm(-#~!WV-Bfn-`-f)0w;POFJ6eaT~>bpN8VT zZcjQAam9z5xy&KA$C~bwSlvjhZqIOGhz2-h{6-R1@}XoAEa)$Ej)p)nU+QFsQ7@ zq!*wp?_giBv2t*8rZmc1<;l_7)ke`7D7+Jr)ZrF0-ucz~Rf zIcoso62ghFS}3#kO_^?$$kwt-F955A`Ab{7t@KvE%ol_;_<7j!*acb1vyfpG3HXorH-- z%nN?x5VjeU#zg2$Nwa}(v*94kk$X=pL2HCX|4 zg}7d~aCt45{P9|T8pdrLdN8V1sI%U8r!aaVti`#)J^(xn0O4__Is=0@JcC7Yp%U5# zlB$NrR;|^W3fb}g>aV~=O=TfUydBIZ8 z@4TUZj_YN48N9h4yb&JfHu`49Eig%V*6P7^#Vv5~pp8lu4TSf1OM5aq`2hAU2beolnq%WE^)^&8P`UE1)Bk;IIP=wN3SIA<5zL= zyKTyy@km9*np2Z_pH`4!R)uM_^O%;Awo?mwHg;+pR5rE8Rw%>g%15ft3dda4-MmS` zLzq>gW))NfWXJ0+lS*@^K*JuR+u`hg6L;;uIV$5@Ly5?aS$DwYCu#s;$yW22nRUAb zGJ#o9Q`hgOmahV{Zk3<{JZ-1|0Xc7)b?CsG2q^G4zqg~o(OM4j0WQ0`5m)6eT#3rl z!=YjRwP#otX&Yi$DVUu0u|M51MJ_4O=LLf`)<2W>78gwa2(EA|w%HGjh z2VB&*F1qSHB{n{qKh5O{pq8FBZNUe*b^XDhM%NtaMvP#Kjl03IH5W>z&GQqvqh+2_ zhz3$~lO7p0F|$x?C|3h0Q~dW(P=Q(CP*nBWvDvA9Xr2v~*x-tnY0;$;EglZ# ztkXGS)&ECtKiiUfJ7Yr4G$SbWC3uW_BD<92MfL!t(zsCqSES|mMM!W{Q&;u=&X5q0tQhqhpF2!8Jr7|4 z12+%ImZnfSeK4E-YOXq5mh;OtyCa!V;dCG9e8fe3@viD0jcL&NfC|t81{lKey=x3< zAL|O{;_bdnG`(+(oTQg2R-Mv_S~UIj$mwhnGA705@eNn-3F8ia1;j({TftAlv9`Q1 z2_7%d88!;{qZRc6K*8h8c7_CLmSNfm3agl>*6(pkWO*_xMHQ@%v) zmGx1TTK=BgrCqmyWfl2oUNkyBTyy{H$&{rsodfS<0{Z~I&k)#mz#rjp9>m|6z{aS8 zLRRhu%-MrYP=h)DW|S>E&-S<%E%+`e~3u^1r>{zPb_L%7o9`aJy`-oj3p zpAnHxvB`p9$HJ+FeP1po=UtskT>eCCyz7@&1X8>mQ!K`;0>r2pFmZ8&0&?>Tmw752 zSwqTJKKLwI`-DeURzF-CW*TtIe(ImoGHd=2PM`tj$^`@ij**$`OeVD9Fk+GBcRxfH zoX)F5H9ls*xu8`c+b5{%GuG+wpVph4aYZ_O-a}fh=VipI$y+(QJ{I>^ z{#kZYhi{_D`Tmi$-T+K^oOxpkcu}D@Vp+B8ZL()FPpS5sXe86o_=($(c@bKnFFmi0b_~m$Qe^uEr&lN~xOEBG8)6w85gw&DMQ&N6EUR7n|lBq@{ z0G^#+$0)q8F>yJv!0$uK!t=X*Ocr$$?(N1_Z87fck|b}5?>JyS3Rv(s3n#)Xgmni0 z|2X^d_@;^{@JLcqZ#?h<1aCZ0QSku%f{Mr2*aZ9G05` z3ZnZ4j#Js+pi->Ywd|B!;o1>RNqVf0Bd!YkLcdjizy_CIOR;j+0z!cCgR1aI?ek!v z7$yhZD+4Kq!SG@rku|5JoiQ%ik6uUdWsO_hUj+tG7tpRBffM7NFa;Wm9>YZe z`5^I~XF(odS5d!o23Aa_CMBz|>CyU;XH$JnRzqP7fH+9AwYci5;ncXR)pJw1O|}4x z29vM=5Sy%2=+8!M@YTo@vU;nhOhKnU@FC$!xO|TIQ?HU|A&w>>`f{{W)#P0d9Ra$Qw|ZwL{3t3k-=^#o3bIvK+SEWzDLdlyX=A>bAU< z=ZaRsT+N(a;0=}!BwTY5F;-rrVlQ9lRaa_XS<-9`pxu`7C`hrDoXqDTQkl&1+@(Js z>o}S59PA8R`@Lx6+hoM%*(zguSjAd2wu?e7c2llqCh{RP;BY?b6}SoUYTgns@NwBm+e z)dJvjQ->2ir(Igv8?<|jzV<7dggo%M@|3SDo ztr9x5{7#kU6z9Vi03%%bi`!!czk*+0+U-ZIr2`UDW9cKV%!Cp9M@#5gkmKBIzNmG& z#)nHg1}u1@YBZ%fn*`+y=l@Hx$N}O2_}}B8EnjkI#s;$NP}W*bu|FVBi3wxir~8>d z@?4Y%@|38*Gz%dWgf96^#T6^IkyR_QmdTpx|8hp9#syvCCXxVBcrz|#N<=+|kATY% ztvmuan{>iDJ_1tUZt~$e`dIDIjl|>)8>97art>YPIx8Bwva@fu*X@afw+)yYpd0L* zkyIPaP&QHZmm}_M)`rlu7;eXb@QOu4t4Z0=Hg^BtNSP+E`;C^_IIA5mw|^@+#Ks&n zQDM~)FZ~r1J7+?mI#|^4i~t>~>YytrXx2mki|0|ce6{fw2)esPE%hqq$jet2$=X{e zoOVFSXO^qlBZMKqPTw0e?{iY(3>&;96^>XjP>r5iG_mr(Hk*6FQaH^T0=0w5&_Z#n zA@)3fcF+M+QKbT>vdvy3bGoCn<{!(wJp*=c$t73%;osPyMJ;&Ra4 zBjo5a7K8Wb0Ea(#_+g7JYfjBL{K8H{UgtxP} zTPn{nO1NLm<%{$c%7hwaSJ6r_CqWcWA3E{(=t2xVuT44){ZgZPc7-@6lqNg>8%Wv# zBWy}6P)up$4HKY(OMi{rO8jhjM^ZEj0t%Fqhfk8GClg^;Q-4$h*tGwRui9qwhaPlz z@+TCB65+%J@R>SI2aPrt4!WPS_Say++>kxukVMQ&7ge8iYfBOsM5qegK32P82Sn!l zsP41U23Z>jhD>7N1gXKNr0#98fq}|~WcTaH4L1kEjsed&^KQC6iBl;HM{!ARx7%|3 zGkXAI;A0YmK*9WUeD~8f`-%N)@vJ2{P2FNQHP+}C1OJsy!_(9=hssvQU1Ascv#{RJ zs7Bv^#vf37^sIT>71(6iKGI)Hp|ge;j72xw1bpgW^ltsDmfgc*A93$i5W=VgQ=myF zFHY&NYmXE5uDE3PRw=l*$`Tgih~0~$X_b2`Gr?v8_tr-#4|Q*u4T{?cwIJT$>nZXq zjXQ&%gu%OYBIOMqIc{S93zc^(Dv5!i@^0yG5DgYw46Yy_o5v6AL+@5r zAm-^KXLIjXQq@fKZgKf}V|$d~w#mret+C+UdJzs1O)nptPO0Yb(l=Ucfr9d}(M%j; z#-*f1IUr5t;?v(G6M>Bpm}DTnmqoQ~E1XM;N&*IHUD3Oq$iAXE1|!52sSiYq`J6%% zoDVJLYU|CS#X{gfuSskc-@XxM@!cEMoN&6~Ew|q>-nq2O8umkUPsSY;9Elt@2=KwB z?{Ox)Q6HPbe>M|q!%HElv0;oWJz>JK?M5XYqha-@4##-o;j=7ZKb{Dfi7KI&NDMwT z-hwZX-A{a4zZL7cQ={xG9UiZW;pyNg>i-NG0D{w5^pPvyHsHx*D0|nP(-~21tiYGt z1$f}n--ZZ*FpTjk;Ds1H;Clooy8J7R!M_^rh)fR^bTS6OL&($u4Q`|64Z2;;I{dqJgVuan-3 zrNSGo8b$Z-q~dWU_yqfklkyHkDw9%PkJI0Yb)1wQIN&dht574guf9g|U$aWlhM#=X zLla-NWINqrPPbx$Kc;Fo60{8=F|3K{w(~X;W636UyLWE#N#TWqn(TJ854;(7R!9P$ zdV5i%TquA(0b)GO4&SW)eI}`a!>)SsO=w5UL-N#1Od+u)qY#?NHH5pfu)*j8=RC%d zkJXMIO#-jHY;$kj=8*y~je*nm_-XB-MV|DiDwElO4!5{7wG5cR0RK9nhVUpLgiC)9 zMVuvUfeBJfFwX0^mXvf<&%s5f!$Mce*qXdTVbde?J>&g^usf08r-p7GlkUW zNXb~eHX|i`XR$zL5l!hx`?Dxn0BGRS_ofK5_#EO|(4_&UNA&^-yji5~p2N3zQ%y_P zH(3nOJ+LX~PC9+f|LH$giy!53wfk(^ie|cw#sRJF7T)N*U zUauM^UJr}GjV^i@(hIzsr9e+DzF3YkGZCUV{;hzMnn5E!c+D zEO1M%{CMlzp+E@Z%=}JO>;al5PXI=^^bb(Xob4E+MA?el#+sRAYpul`ZrNHJ@i}dq zYYZl8K#K(@Z`7&CGcWhT+DPq1i{2rPrEe!Bf9Y($*D<|u@oYEIVX)g#dsnm+pUMeX2@S>}375Vd>$$ zYDBHx6pXHfz5eU)EIPX+93R*vd~QP#!+90i2`)YItl-rY@M5hcn=j@NiYd~9 zPZ;P_P}m5>J9M~hxs zI%V{~4Dwc874R3`>2Mhz?-ZozXTV}(+n)Unx6m6ABd06Qnw+j&jHBokboJnF?Y?yW zZ05D!BB|AkJ*|hgsf%`&8g; z&pD9Fs#r~q@_XckwJ-_fbkKC4nyDx|oN?}3*4sNJ2>TnmF84+9&8|5~iy|I6!t1!} z37sr$dJ|Tr(oDZv^fkDi>XMem(wbPzZa#EA!7sB*M1POO~dgAs3=32+G3DI`Dp98U&@EX0s*yp5Q*-V#XEZM~)5;T><@ z+`}YgN8!XWvCfJTqn-c_h?H_a5?#)kk@CsA-3x#Jn-u@vMk#ocD+N|%xBIQob}nf1 z+M|RWWiIAfJJ#uM66=(u6s&IYyJDaez-DZ^**z^Oo87Skxmyy~#*io|VCb3{@U?t& znZL(NzA9qO{=B!?hTFoNI2{(NoG<};jh8zi_?3kj2f+X zZlDaqI!bRa$}sla0+)U`qN9`+ z5)w4v+bDbY_?m=z*4d(_3BKVyzX@XOtGtAhJnHZ?96B#-!X+GcIwFE#u@kxQ9YIlZ z%78crB@BHRu%V-QCpo!WEx+d8P@DFI>w`^3-2an_xkb#^i-3{OIV0OQ7A7$d<+nkF zFOVXm#pW$CL|l1AgN=4vJ{R&Fjv^Of(MV2CV(`HUmgb^r6xLA^!;%)xlPMQnG+px0 z>!kcamA-Ivk1d_@nU>!VdL!6tQHM*h0@Ydr3iWnG_Pf|x*80Ve=c-IijEcUpC6q9k zlrREB$a`&cdxWry$OH4*Snag`L7J<?1UXEW)@v0S(2Tf=fTPeM4dC3gcxl{w79t z|0_ZK&EJ`H-tM?b0$D9@rY;Jd@L&oV@DBRd&HoJo0XH8t$D)}@Wjhl#i^9r!co&z` zFSdUdww+OV$tU21`jl9t^zu)SF{Uoa*_11XfR@>QVxjYb5ref z`+hLhcJEiq>9-o@y8ZD2|58uTQjb0;Z(QgosO$5|{FO7|O?%jC^3S`#2lcBt+}Q9_ zESg`i>S|n}mM5)Mv+De=#?VKGpalajJ#nAPKcpVo<78?up$9`7h9Sy~k=m!OBc^9> zCRL@+L>-A|uTQ8&KFouzl7%*V-cnZzswOh$uSnD>)jp*}O*sDOcW+-^>I!P^QI( zCH0ateMC74k}&EunY1MEU$=oI+ld{LIcX36+#NOalw ztcj+0c9Ml%47Y}@iZZC$9j>2<_{1DT$sKnwRd9*pd$P=#PypebjdQ<wY1*;Zj4;G2r@C-c1(;O-dwECRpu(!=G^~ zThMn$&{*%=YIViZllI(UF{$!CT&to+zWf?7bU>|ldnl7{To0+8Hm^6ToGcB8{zlVd zZfL4m6^jmiA^Z^NylJBxfGLZiuU){D9ZHNFhN|$bJ3K!gYg*Z5R0zYwy)M5>Mo$8) zT<*Otw^Fb)gws+xA@}tu35WZ{9vZ7%vVSHi z52>W{xKgw1`m>r8>1+dRoOjo;g3h|4EuLt&XpO~ynUXS2hbm$esmm2}3t|NZU|O^sG3vlgxzJ|^APWD`P3k^N zo^&|FweK{+q0g`!=kO@}i&CgRpn^+370Rq(0l_V7F>dJj#ALcS;I8fFj3q{1V5SN8 zM(l)LRO2w_B&I}mqIx?Tu)w9aLfK}ThDA*|R;aKPqHxNBfppPg7p~*5wbGrpTTK3< z(rCn6H767R_x@;{vUYa1KsG{Y^ZA&KaL(SSPYL?J6yC>W-Zb$(pbV4$`g1a|3C+kQ z$M%9sx;INwxGw@zs~~=4PQVbPeyU7H(KpcZ%KPvwikQ#gR9?i~%u~0>18cKht3A|G z>WyVww#6P}!UEl?WSw(5(#lRaoL0E@HC;4U@HBX({BEinHMfF@ZfRAE=+m()$=l99QUli+B#ZABemNveVy#?XtMZsU*vZBtUp~CFd&nw zs1lDvl_*Bk#W>1Yh%%eCA;Qeb))e>t3C_BvKhLSRlTx<-a zYv;rqv#d2mNnCXYa@cI9x7;5<#HERZjdnfER#P*p9z30LBpdd=2}xiV_qD|wcg!d| zU`d*VpYLjT?W6EIxb*Lc*NUMDX}}^Zsf>H?Q-72FPYqW&%ucr!q9!~yG&~>~h2%yL z3zytQ6r+mjIk2q)J_FX?*BqZnDo>~-clkJ3uZF=XT--PoId9KFY_jC$;-nbsC~t%0 zj)2yYf+-Ou^Zsr!z#XzUOGbDn7zQ{Yy&qr3iB0f$hVQ!66ht3dT=HJ6SmP*8xbU8f zh_g8yyl76g{j%^n*i(I-+I%UR?RPly?OQ&iq0>GoF7gNB7jPqM9!1LOL1mQJLAh|r z(GH|cn^`veZgI=?_(YB3!Ft`Y!6=N zjYA+@Z-|O>-m3VNxmxp*L87RCh0tm)&Pxy(z5(TyhLS4c2U0<#%@b2d=`*H4?BoAt z@AL?+T)bWt%Ug$Yu1zuF24wKh%9>dZ&LcMx>rkTsVjR< zC}=cy>o62%xkJYndp)zF+j5*WW%std!+d0xd!V8XzVc0vfiyXn=r*tz+TDgu2aR4QBPuB8N_Zk@5Cb73 zd!SnE{=WJ|mDd+O(%)`M1Ys9gG!s!4CUIWoqNJ2!ZNnSRG%j>tl+#jjWL3C@V&4*QZIc9uDV7*SBV*e4{^YhSVDBxRaDKEf&Sq!eR+x zm6a0oR>P(L1nVdZQ-2f0si8_=2_az1t4Y(cEEeAXYBDCB*P&x;_e|_VNUb|#!Pg)A zGd>bt3K@9kh)6wLvfw=TDWcC7AE2*laTvyzT)&BwU!S$IQrXYW;)fed24&OFQb5Qx zp3f-4EXu&-$ksi7**lLk%{K?UP4D|7!O*)#3vz}~y)!9A6-I{RD9hzG!jNO`6$r+` zB*|m7+cxeYoA;f6kzB#KKA3fRu--c% zLw#V5BR&Cw^^!?dW?_^yynmLrZzy3XNfl29;wWQ(`_2-w?%Q(U>Y}9?~Tn0og8LBlPeR8Ob{*u928sv ze~zeS_T}yW0_!;YMqv31QrlwFkF9*29REVC)<|DRqmt?PiU1mmsd#FZqVpk{xmEBb zB7{z3Vp8^tN!~}W=U$aglBtl3=SWV*-!L(4Nn~w{cYFNjcF@4sXnk~t!6YUn^r>@B zs}RVAWQT}-2XgONt$U5GnYeV>3oZ)=Qhhz#ix?C$+5{-Mv|M>6l(1Y+sZf0YwBL1E z%k`&sopZt`*`?Blf(cx2sq%W2z3bSKxF`gdepP$K;6qQ-rVcu`p2X|JL2umaiyLF# zhG+t`#-@9M!NnV9)Jcv4bNTmHTY~zoT&nOS^$fk5zCb08Vfsw7-di#ab_gw-mNgv} zziW_Z=ujddWZCv?`M$xHc4wOAR;LpQ%=h5+N0e-r z)qs{SvV7GZElPRxRGlO@oVH7;XX9?;O^Hxa*_z$ZPzqu2wx3Q0f*2F;O?j2{kw|3j z*>zCdS=5Y9!8AN<5BEzIaV#2DX0KTVNn*uTJ5MN zHBL3w@~u4gc+#q@!y;KZ*RDMiLN_~%Wat+siD`ABuKpRHGtcxT3B3-|jc$_;#l4XU zq1w(S%+Bj`$CVL*jpc&)Ys4+9!rbWi2J5<0BY}m1#u-IA7yd~`6#c0(I))YfA;))E ziOT1UiX|s-4I%^TGT{JH6T=LhxFZ6R$yGl}YM%_)y|s@=9eH(+S+Wl(aXOU)O0dlw zWi3U?m0z%9fD3$dm~E@>MQVDfsT(>Sk7Qbw&a+B1k&-)do0yN(eYUQBD`9`Pyi08R z#noizC2Fh{r{$G3i_xa6tf@8My7mZRdSG!fgVy|qRAE?)>yeZak7KUw6|_gI`Z;kU zUP~YtR*X0txi14kxb*Agj~BWF7+biX`*!f${&yl-`gEvUhiPC{l_w!_VEytHd&2#? z-riwk=w4g>hQ%MpJ-0@Smd*?Y8khdpeP?btLhx?#>4j*Ol%oT@}H+THttq2WIbZf=5a{d$`-O7 z_=DK`9koa8Ke~rM^k+C2hGjCv!Z{I%q{rPapXzp=isC+sGKW9LQ1|`@_rN(tl51%KMeFycA=?%eg(ejqHp@)Pm-a zKEYP88jML{x7Px7IJ0j?e1Hy(SuVe~U>#+4c`L0P1$*8ih#4vUMsIY67&J7xzS4+@A(*^jyh|S2zJtGk6p*!KL3$F=jCyI0XJfZL|kQ6HC9B z!%pkAwE5vNkdz4RAz`CMR0axSC4+AO1ErW);QHX36m?hc_zZcm(kF2Yhhpf2Mf(cn4ht3@>>bX7iqHq-9T*9M8nG+)-t-iUkF= zqw1Ho_&~KplYAyDSsTGoH9Q=Wn*2j!&SEODFa^@mf?45E(C5!{M`l3^BuKce%wjkj za}xYYQD+%2klV$J>*3(5e4QA#T8N=>>l=>v4CA(ZqrG3Yqsr%3ZHh%-C44?5!EbVt zg~kF-p7GRhpAb)I=m+fABa#0bc}%5eh7TEa0E)p zO{QR=W&TYLk6mo@t}OX8XbGJtxe;c`CP=bnkccvRP!HrYSb*Zr5+ty;$9BpM+IS_| z+SL&BZtd)d88=^*G?-voP?q+h-iWdfA17TdOBxWVEKB%{f>_683GT!9MnH)Jck{+C zn<3IY%Us?a2}fM1x-DPi+2|ryCZ*a0iUV{E;DSrP7m?zdxG@83Lvl*!HAhHQG+_>} zt2&l(hUZKg6~xA~Iql`j_3ntjsp#PrgM?Y{V=*U9X6SZ32FVNy=7&s~XiRYTmGHtx z;WcpSf2S{O!!m9+p5xaFy?b|OBJIChona&I_&{8dDhlm0*v0ZzK!tIiz$oM)goAs* zIW|%|ZP`g;|1wc4J?6{g&0L;LW=G~l6i+E)(l%ruGOS%+v{V7^{8#Q%H@q+)@|s>+v;A`OKg!#O-<0=Ni83dIO;gaVh1* zE#wluf*7W#xfrM8$BjG5z=D{!0wVK1SAGAul1F%C9+AXa3MwFcQasSUprZ+#WnP^Bj2;ktM{ z*0S;mqk*1t67`H1s_D7VOy1*vh-M;EZovSbVjiqcfoXsf{rM$@IkY9V+ zL{j@!Fr3WPPILLCNj1}g2|Vk7%W`mWc{0jgPwaNi?th8q3i$*st~85Bb{9^9ZDy0Q zt=1O3JfA`Ew6d^*?9M0NNPC>1&I89q zYXuL0ChTB=c*nkj9nZ1v)E)Nwk?A}yv)QO@UP6?k0To>O6YWugvn0)ykk|Bryv+An zY>63Fcc-NZVoq;ed^ngeoT$9Z8I6TuPKP|<7@*OVS-0tE)Y-Ibq-#ntsrXQIu*82S zeb4{HPmXAuPNR`pyP@jQ0j{$t4(&jsv__-df;0hGO^54j|D2Ci7s04xJ|*qIDOjP= zZZp6y1-ia|ZFj6fAm%614*UWuG}`TM_@zKMkg0S!Myp)MLZXtsICtP&C@-hhv3C zyZbu$r9ii|F6xL?h>3bs0B9aoXtY0}lad16_R!EGtU@5>7|}j2lj4^vIMD4gZ@7{J z`S1oXQCX(Z{<;kQqL_Mmn*|A&<3c;=5(fNR3kSL*UHv@P;p;ZyjYd1DKPv+`z$m7> z`WDbC1p1CW9F)(>22}YdP_G$%O0f=sHnE3;%2~PhQ79?U-QKgCu?~U0XAcKayHKP3 zeIxu$f$mBDya=Bm&}O`WHl1Q+<9Se0px%8J_QyH|+JZM4?F%=ta{p2&DbRh>2T}Gx zpsnoT3qx2LN^zk3JzaCL4uP0$t$pEb1{5C1fgU*h*Gn8|JA3#-H7#`-{380gJ`cJJ zu?{g&3mDpjECRVfI^ax7f%?9cFX$wH`e4fR8l4tx2nzJjxA%01dy45tywPX}_o1aO z=RPPY(8H%PHCTtQ+esg42fs>79X+*)0`+^~$BrC`S)kg%WekW;!W5|gv;})O5Hl*Z zgBj&@=k$OF6zGxl&mH4HKT!hiID6o?s0+93`G)UgYc z6w{N*o)2*#`k8=5s=A)Pl zH5&vMFr`|X$2wZqUE)A_C+8J$Ob75rqcze(qr2`UxS^Pa-us;Rx`Xto)_4akb=RYm zp+Li?oIeliuuXr^hg#!ETIy~b03`(){>^W>ScgD=(uZ2(d$iQuBt-Oxf0yH{DbOJq zXgve!aWj+@lj)ub8?g>C9mX4I_z_y_Zg~?*3N-4?+XW`}P&@Q826QWS69qDV9i_d2 zn3%e!9r`E(y8UquH2R;K8mvR0WAveR=gfB$$FRv{4M zNbRs5SfSC~b07RtpmDuE7F@s-8SSt<26XQN4)p5e7mKhCF~#UZ?J!!Q(cOoGlwx{q z?d`Nb5hyMLeN9W<{m*fr@y$_676fXRfetXB2fpDz6Yr`LB>0;F4aY$V4exUs{LkU4JYn&>IB{1sAl?huYx`qwbMO9OzB=NWle31~lS2 zTIwF_z=5X3dx(K>N(OqK0Sy?#fu`OOS%G!frqg(%(T<|eW)Gz5-oMlq9~$3wyJk0VjTjZJVHz# z(o*-occ7#|vrY^t$2tH)+QC2V$c?nr4MdSmfeL$eFU2|pI*UHkj$~}C8#IUm&7K&! zmjf|WT2mJata|}pK{35u`PEY#NJ}4TO;0nRA%ZjCIX<3Kyn{{#n#O<(^P!}e=G=at zz=RdhaMLPU>WtV%3RFC?Lw~HphT}LxpgpwI4gC+46lkvVhk00sKqy53XcYSZ-LSdv z7X_LZohRtT`b<0OE()w0aXANi@3v<-7j!s>KGcpH#ehc6;6U$BxN-y5;p@(&54EF8 zXsI&^ikCPJ)o`HmWFQX%GG7BFZPWb7n*AK;d>QB%0~(FM6lmeC<0y7~-31KDj12~U z8jJl!ffkLw<8`b;ASNiZ<^fot(Y@$|UkdcWSE-#?g+Oq@Kdt!2SfHdprMJwZv_qha*~3CwXmsN?aiGPoJ#hdl5$F=U(dY_syg~UI z4hV{=?5mE4unK`L#T$*Ta3m|oe*q;0`uJF=Kh`18Wq6~}6{3WLaw7Hs1^T4N8bN|i z>|voiDNZtQpif^N*BzfBCYgwZN3cSp%ijjS6w_yGZWdgC77sj}Ex-2lo*bzB=)Xhp z5n{R$Zvf~~R!&|9CB?MtX20NqtJuTYw2>O!n}WxekDEIhpCP8JWgx@=7^ge~zZBDo z)lcljDg?s5!q?Hx)aWdA@D~O8{OCD?1YKmH6f3QQ;$Pkr5M0oeJ$$K0Mpm3oVf!^ zifPS_(L-2=K-aQ|Zy#Xgtm~nqKwrIFCq~P4?BP3%*L8LrAr#25vZx%NAtwBv@Va*% zV&#fcP*Nc0;U^|y9Rl6J9=;WUA5qW?>yTQw8@qCnM$Ua7=K2qbq$k^Ggb|Kvbl-*Dqd z4s;9NXmmvswMO?9{wIp*n->oWF1S?&n#D@T8V*$RWwj3L@O3D+5fgpCMpucQN-@>` zIde2VLZI9627valvT8b%6sYd{$IGz}fpByH(41UWxLmkhWMvHk z)7RCHy`co_5Yyf4;hY#NYlBcyAm8VQ1fA|-4~x67vQEqh{)1}-7u+iYAs@j9tVa`t zV*2h{3+*a=U2pcVcp57`|KmWL#ys*A)*+BgpW;;v$SdUd_n+&qA1ToN>|rteT#ar6 zY8>=+n-6?Hlmk7$faZ2!rEfV0+S>hn@pTW%Kr&G`UdDm8jW&+PXV|7bc%#wH9mUG; z%uv$TZC`Q2F|0$NzU<*#N?na^lNc>Og#TQ^fgX~9Jgofw6qFRxkKMkYjEI;XmVqd0 zjc&_F9B8L`lHh{>FraytvvON52imp#K}r_H)K3N)z{>4NT>84*VI6G>0`-SV__}#- zu=0m@p`<`RU$f~r)*;X%?BTo>to%{ToWG1>qZ)x8m4SA%a_1ZK*6Q22rhV12C8A@Z!bYfU$-}O_h_s`Oi$qrVETiVdp1K!f%bLD&?X?z zf7!$LIIh6u6j@)zz>kvpDCGW|77(_Wmf%ccrMvkCBI0?e*-kZqE-_M4U0)_TJ zH4W!74S2Syw$#X1DS!HBPGVPyyv z913*svo9XNIs_WX9=?AAE1TYgk^=qFIEFR_foM-_bnm~wfDT;7fev-Pbsp9s&>;5k z{X$kA#0M19;o&W`4uND0@4Fb#A59$S$fuhSE5(F!E;RgoIx;l6L)e!T)6spid*CC) zGz4!nx{`BQd00r5zpm~t1_J6!2t+?uqdS@le^E@4;pZ>GM~Ep8Zvf~ORvtsXqCnA4 z_AbIY1TwORC6u}v-CqqH==krS>p0L*8E6wLqexVWDR$N9D>=|G8K{|+#|xpPK=EPM zRbw5#4tpKiH2)e_p7<9^3e>zLem>SA&*Y{VAaeOPw__c? z?q&9H;gzf;??Fj{GI{pnScgC|A1!=>0cC#SKvEf#-Uu{~JzO}2mN^>EbXrY-2rhV4 z2Ks^lb?6QyzE0br(+>l%4l%ukHyYhS+E1Ds?M(QK0%;A4AHqinG#+mNC_~E}$O0q- z=@yULk97z%fj-nNqMxhDIh%_fZBC#o_9F$FC<8GL&C&A_tkqxEbczE_l7Z&YGUptW z4YWa=KKGc1L-2phdd+--7ZP!Kn7hx3w+3^P2w3(JU*WC;y1-jfZ5H!3DD!P-%Atbb}zlwa@py0qYPGqqMH{e+=lRJSgew zu3OkDnC>0=P*=)Gk#n=?;Ol?#7UDC+M0rM|D|JxdoLg>#l482?qFD#94uR(24FJ)8 z(&XHVZKOaq4SZVk9f}$NT6{Jwb8b5cB?Y>9;T88{9b%eGAL50El*hCg-ke;V%kw+y6!jE_hD{!chW` zdtqNvpxYPRehjM+)BAV>n2ysj=k7F=6sYH}(`&E}flBB@UD?&N%(-_IloaUB3p~|W zhd}e`LtWWZw9M(f4oV7i*K^Z*U>yRwNx$bovzXBsa8EktOIuB?KVIS*i8 zQcQR6x@aWUArSj?UD>Y;=t07P?md5>Ai)Rpq3&Z11PEM6@0FhpaElk zOZ8q-8?ptxwT+p`L5S8VItY1N8*7)?lF{1VJ&|>o;3sSladb_9_dkFSEX{STUc!C>N9|df1>De&n;ffHU1E;pJSfy=ycar@( z)g)}$cEazftA*qSm@3>EacAv{<{1AK?G&*oum#pdcYHoScnE=P()BB=BB?yXK!ZJN z$7kH_5|QN?Mf4 zi1P|TRGQcZfGM+hLlYEjR^TM;J#ewTy49W5lA2EHuP>j$_v#u<4=dXwb^%6|)o|%s z+GB*BK_0rd> zM-huePzMrWBAtn2wS~_?AklLwW*S%YF>DqIZ>}GeoP^o;eC({=%CG)svDNL|U~)L@ zPS*lRCYvtNe;o1H8;hQm?7^uudI;KX+1wkCKZKNV&XlreV+XVZ#UNbzQ?w;*hKn~Y zZ;@S(TV%5jP1=$;5lh1s*&e|#-6ETIoq3Dw>Gp{A5Z6U}deDJsu_v z$f6WhI1@$>oC7P#TV$8R7TFcqfp{BUOGl-qx#ejSp<867n6f7ex5z#N$l%hqW}&5` zjq&@SD{Dx%ZW(A2%dv2+akVGy+c-_h$o5Ba2#_DCtwEjSZB4v65&#W|ieQp8`v?#~!>%=u%rD~3Vloe`==`a%~* zeEZ6lhC?BmdB?9H#V_Y{qZ%1BQniUHSC8+Zbb~&^%sZYGjX>_a@n*=pbF*p_)v$^p zwuve)DFu{(!$Nq0Jb&i0e&=KWW`eQmqQS!RK7@1LKQ@M4C5t02F~^%LpD-Jp3qHzt za4%?rD2LLi5&cgSu~c0b@`$9wBsicr-| z5P{gtk=g@y4!}o-e>fZADJ=v+=_;;TtS}1x8g2Qo-9rV`rn~8Df zE$ZHiSzOV^N}btA)8M8ev24Ae0I3bn2UgbE1xvt@OH@ zYI4FMkuV%*LsUND%Dq5*lo%(Fs4eFG4%NTCK?s0t3aUlU?@e>$0N7B{q6EOc2`IT( zxUfA+NYv(I0kHE-aKy=IOENj5WK`Pg8bie{P1M$Gw%Th;M#fW;ohE7vEBTYA0(Q>c zpbFE80@+(uKyo``otnL+=^e47w`)mYw^pj%eU?)yuxyr$Bs(9C&*xR8I{FPT%2RXh z#fXt|qpGhx65FS_(Fgl9xBAs&8MgbhJ0|6O%WqE-OfTy6sj>>7Bpw9l;L5$EJz_}R z=3-1#ZG_Zq!$PKX?NFDuAX#Iy5iu{Ft}J&tVs%Al+d{TUpj4zJm(Ut<_&*GY;mW;~ zzCfkkNYRMG_K5j;zzA1vr}mg3N4O7~3b{a+946&) z$>J?PCS{D}2ZM0P4nOC(WlJq2x0zIPKWM*r=(9iK6|)EOwX8wrUQY3IGEiCVi?hu7 ziy9%bepzF-hSG;-*2i4O0UMo}D&Mt#r8+|y#dcS~30LkF?b{A>i$5*Wb2kDo=U3`k zXd{lVLIj*&aRYbCLqELR&-W4Yp8Hhd_;ls_vN$GT%bCS7&W(!d1mYMC4=Rqg{|uQ6 z1LkDxr{CfrQh5HIyhPBy>z9bL0Q2vJLrS_FwK(ofv9{6aBVLwmam7T)zcW!Sj!Rd` zIRxO?k$xhpC5mHN70c~%CWN@@9`coRbdpZBq57UCPrl)b)sQG!&c!!w?!9Tp3{&d` zp#W&~kN{mtrJ%N$<#u@-4 z7rosnM%4rbJGY$78k@I{l&n|NcV~T7+*`NsS(ucem9dyZIU*Dr$-nwqin2|wrClt~ za`kUuiT$R=TE36(+_I|J09oA%h~Ub-u02B78svws9;+SwBL|N zW>J;^*A@CSov~C+mTYjMVLIx$l^zLIq`56YYL6c>;gL{t_Xa`+`ADdWnGAg#vA;*|{pUTUtX4m^O`SGS#LnMrW}ZYU~rNa;z%vjPDLRS)?8Y`r;>?LL42$U1aYiM zEr?GanJ4E{O<0pEBOBFObZof0L?7mauI^)x>mUy4a|4eDTQ! zTd<&VyfYKU^9jS&px8-=Nj7+cdr;&m=7v2mXnMgJjVnGSE6d?bUf;^kJieyYpPD4P z(_TrG=X5*8mhCNolXuxIqQQa-;3&FCWFvCp&s)wT6B@RviSSlK>j|G{Mts$sRtuiB zoQ^2F-%vDhLfqOOFXZb=!xmOh?3&+zvzDjWq;%rVj9--^f8ncBNx*yZ4Zq7r&st8} zl#~Oi)LOZ>$%MdullLv9nA9ko0EaDGY)My9e#&Dh8W*zB<55MXkyP5Rcrads_TB-g z;L5#Sv{%Ipj`W2cIzHPybF_CLxJ7gH9)mroziuW)->8L7(T;kLdxCxE^+B*= zqTYB$Q4rJ<(47>kY8-(lQKHgz&AWR@)knsltE$8u3ApF5oy)@5fT(-PPG3a66)s#4$Ag?408;ibcx!t~TZpHD%Gy(@brb9=RIC7e~@fJtrU zSnb9?W|GZ+s3>`~;-KuA3?-E9gJ@ZCUEyxT2A_<&EpPTcSl3-PdGYj~OkdD#!90AA z7*@`a>Q}wv#e*&7$-TCNLGrJh?Th2&ZYK6_ihm7fOwI$$A>YPwcgL9UTXI!1DZg6X zWhI0gTSirOJ>&t7us60+IMqPif@}(L+FPe?JV~TGEuomy!zm?NueD|ENcFnC*#hr1 zKm%9qeH39s|AkDZgOuNWueofC&CimExYB%kl{qdZ`M?5 zLVQ{8UromN?#s=w)C^-_ncb+EjR)XM2Eq&B%DrE_SUo&gZjA1Wi0OvKq3E+WN6a^U zkg%!}D>tn0I^r$C3k<<9dW|BILz&4i6$dBO0~EbVF5+S1Q7Xaa9lo=YEI5*-muBoi zIoGL8N($fj2|y_ObaNkUk1*!w+l(wy_{Ant{AHHsEUz-^^m>{S56v=#!jSCLnQ`4J zwiqMZaar4^JsH5K>qHKLEvGj&liHh1CSzmmO|7Ep9;Tj$56Awghom%BpIg|nQM;{CI+k(IGG-2i6WK{6B2kC15Ku7n2V8LF z_Jb0ei~L3pOM)B$?pwj|gJdk9d{1JZWckoqj=UmkHpH%EUc|x8rAU7n9d;)-T$)NwpUe5|(Hii5Yo$+sB8ssm=w zkb40T!j=0dVupE$wZ8&B1pGm92`A5y+9hw3WO)&h(&g`D9Mg@<-%gkgz_!**!=i7U z(m$<}|1lbV-?Qi|xBuV3!ay(>63YuHV-Yi4xu`F8?Te*q&>8m?SaQWT0)2BC*^kJR4v1-Wl^Fzm^PL%Mr^DOt0UGWb(z zk@y8WknNX9812Xa{`c==UMB_t1Azfk;NZ?P6mxoI2!pNw}_(Oj;F2Mt{6b?)1ymDT41ZHnJ6(7Z1B~vngo6au)lgNBR#(i z20f<7G7UYKC+vzMpZwe5PaNtNlwht-M}5jBYHTK-qEKeE8x~$a^R+hniSeXgC97NJ zc8_Hy*z13?C(z*XO4-h3&c#Sv92CgK{oxj_T;ygI7ejU(=I1GVj2Ma@Beg}3I8z0d zqJAc-RSS*46;U~fQBd%Pqix!zR#b2cvoQHt-|uG?T{KA7ai46G|?(VS*nyKZ3ik*6-Wb z`iadb;o?*(?i5UoGdidL{}8`Sf6k9MM`qD~2B;zw z1r)cWq`e00V%VT7s15Z&yK%5w=xe?MADe4amO8&>I-E9M;GbU=Oa`cP$rBN7b>v6x zTS6MOL+p{*=|(HIl9fN&4BnMLq#}70+iW(~F+N*9NkP)68jT@oMBh@}?-4oq)K)f% zzN{XG)Tl0Y8rq`2`Wz=^e`RH-E`Efd#D-n{gcyVdjR|5otq05akk`3ZJp}8h-UkxZ z11a3S8Bl&&Axr#Mf0MGWvffY=Be9sLrRdt}CJScFOJ^La%utgc7N7$l%K_%3ST(xt z$gq5>H{hGHB{)o&?mjRBjnw{pPc><{*JMki8}9L@Jaxf)EFpu+W(`9QS!cdbAuwYD zS9NeeAejI)w|u;DiCV1lMo!p37L3eNby`{ivZ|9bDN6*XJ8+U1vk-y+!<1b>l!;@t zr@BuhneJKK;vRFtDK(wAWtLeAp{66XNr}ycz)*@cOVb3MOu~hSk=hlSwPdB%UUS#Rz6 z69oaxSqY+~`N#3dCz;{$$1^Q~&RKDm2<_GVgybI#xtqWxVaN}u5~1z+RmudH9t_h& zXmE16@RZ_c;oUT%eN#aLOEWjXyaI677i8H2J!GGeEku-Tp~$(Mn`y*K8_8|LmvC;z z1*pt;DmpgKCWft$ZEmaGnVDhQYO_!im?yOJIn*bhs3rF((P*KigH98{7!DA#&%$w6 z|5Hisb%*E0J>ivCjIvp9<;*21DMqlMu~q!Ge3%dd+b4`ifSxMkrE~hx55Y;{20k6p9L7wOCu5nn$JHP`O(EJXT0qy5Mnn}aKEJ4?F zZYT!%koFvGF`-w=>-8ue5cJP+o&8nXdd`ki-1#t7C8Ymv{(~fshRmULi9>Nb*+Zs_ zf1xFmlwv0jq|@VZ4b5(pghq`zI~RGcQOs>bo(uCLL#D!cPm+>B)`Yj@>6oLTaG8%WDd3kia+*~1`;LxfRGep;K-$Z;rWE-NpW~JTErn0puTc{3B9f`Oj!bz?s z_5{Q#3lnalPsBPdOmJ$20N2l&st#^ChX0o$y*6B~j-($j0&7&`Ru zyP4a5vWKf$>oTrzacG|{5fF|9>^0uZDeapHs;`qRk*_)YH>o_V{`T@0d7{*Sxwqoc z`wKAg^-fEBj5=8JqI}T*gFR%xXO`NMTRa})fcYj2caRrqVwR{UuIzNGZW% z*iF3Wr^+NilFBY@M;udcfs3gtENOIATQo&>x5K}0%lxnv4%DrJ^S)n^T{JnvR48$M zsofZJM?tzlBK0M`NO|9&(OZ76+Z$Zo(~1YHD~WicF^^asK$Ki8PeY8dq(JoxuH3h< zt~)lH#=U?F#+HCrI46?|r>faUL-#qoPExkT6sVsADPG|evJRTc?wOE%U?_3o&<|Fh zZ@M>J@sC|~GQBEkcJ6e15zq(TPx+2|K_XFka1@S%Olsq@w?q8norYwhsi>zT=Cc*wX0Zjcq`xy3S9B*-Pr1;viPj>Q$njRbxPuDjW3?dQ zVzpTU?#L9LNG)u-U*T!>0@bYcIAQvhkAhrtC1g_TY)J%WxUO=>3d91{hQ;AvfSjnj z0{xu0KqV!G4@kX0WtYhy#x*GYPMXO7`y~ldo+S2=ue?Qy?^~V-1>5Aug_DAWVYp4z zWrd=_f(y`T?Pd#9!SuuNl#-V{3C%?_7OvcPL~{i%fG*%*SrFI1 z16dG#*-6fKkEn861%QjDff1NnpkcaXmJksEIRzgXCMp@ znU`CZ&}=1w0x@#wSVA$X2n)eExLga1P7~lXD_pJ(=10YX+rPdUCUae2oI{$0(KKd@ zqC4SYCg+6t?c0f={7jaw_^6sxl&X2F)I+0b=7261=+csA(V{JJZHX-EvPI?!h%X!Qax|HT>KP2YTIs;6cK^eHeX{}g!u3! z*moT%xA{Edk)GN`TeiWhnWYXl)UDcW$% z8x24J#}fn~G)yu<07An=uP<5`93QZ$gC)T6{ zbf%njD<2F5%-O4M@w889a7dB3JCkhtICko~z?G|CBrvV|i|34Fy5OW;N`qbR|CHEM) z=tLmeEtm>i^p`#OI#uW)GI;JQC;ZVsYrt$mg5{C7h2+2B+UgY7W^FsNqZA^!Fm~wc5B_h6uBH8Dy!{A6a?yyglTV`G)%4 zS9l`9m)5!ZbS%aVVUJ zXnI%j*I(pw7)^7M0nu%tj5jqaRQsTX?p$(9cPQsNsH083kO?4oSa*((9$^lK*gi1C z8dcpiuBb_lHVK=Q2)K}noL`=)so>+r4*I3~22y*ALGsq#>~tFIZcL!NPqD!uOR-Q( zDgh<>(BaChkQ)fy&Mie}yaRdze=E5zAWhbkbT>&>lv#P&HFL+11^w#XZdGtu$)cx? z_Lt|(O2pj$ufegGuqVXOfC*0wjg_M5_$Jx6DmmGivFi^(IGpcLmZH7u{S#8eE|vML zA$sZnSV{_s)yvtd+GB>}|L_ceE-=}}h_&=zyS=frIToK~KJ<#o0^cI9tJO?}yyMdw zOTLAJ-Es3&DwQ2F#0T2yEE-WcA-UPI$dVK+i!4iJc?*ko@V!$Bi&Y5YFc5>!&TFy| z!mt3hb&Lu36+5?*RXekVd%fgzr<)esolXSk-l>?RB$48a|Okwmeu;Z3?Ejl6j_W6&4+Kq0vj6b8A`*0|7 z3(|?Wv*iVB07=KFz;iCjuO?;rSu#3PtfeuI`+J$Jc17>P4XNDiR(U3zK6i%c0^dNa z+k$V@eDZtEn^p1XEUER`kll=`ixg8%!9a_QtBF+mR6;`b<1uy5{%MvLE3k*Zip!P09h+qDX0L{ zb+ZMjjo+*#o3r8xD|X0+m?haJh?#T2*X`@M3;W=_u)#6MSYwUE}d~J>>K&xv7NGBoNWgs{Sl zDpJ<8ZzhDHW|$S$JdPwMClcP|_^2~4@nV23vMYyEIbFhWfI7*efC{eMTDgI&cR<#_ zh-HVme*g0TvgZkP@3iPncp`P~kAhZeb7lM@SP9*syua6pMhX^!?c(z3aF3EezbEZZ z>-)~(4?kuLW?Nj5wkO;2u~a8Fl2H-l7o67ZrY6_17x$3(BwNCD{1ueIEXMKQZ4X+K zb;r#y$&MzMEujp3G`Y|r4p;8__6>#TX891rHG`^2%qZf+|nI3kJqt5Iv5Nl@py@dqzDL;&8+#taN)@;Nzx@BX z6oE!Z_y2!H_ zN-Nr`6|A;(U#cOpgeWd3+dwr07(&K6EY=ame#2rN7VQjR!X!hTVKk3PkZq{4sbm5S zNl@8LAQ>u|KpywK|2g-)``*17^C zrNJvTVY+@$LNhthOd$&@8zkZ308wyYc0EC6_fVV4yZgLj?Bzucw^;C{_)>xq%}y(% z@7B1;-J$$g8&LS^(iRe0DsbJ7ZCHN1cVTtP>Iq})Dl8eLSbXd%tf7FK=9o?cPtSGu z8$~v)OMz55t)^^Fd?Kl6BeAB6y@7CGa>X7O9SEdFYdRX%`hBVKRe!QMJ(!px1r;;9 z6y4AaH=iSP_z1xtqNepaiA7PES-4xU)o0B5l!dMmu0BTL&U%2!xaa!LFhOV}wQVn( z1!+3VXY(?2Xmc#!&qL_yA{XSsf(-S0&GL6d&no~Bo}L@d1Xu~eL5?bVD!q$Xe@ryi zeV>^4N~3t$D7?ehQOqZf(mt3^NU@ z6WJBoh;P-^nnCbaczSNa-`JsG^foB#KHFRT^<>vA(|A69;2{D9EReV7REe3k_W-j(I*v zuFZI2`NzIeqSt*(YmW7>jtT>Gvj@JhW1+B|3dlm56ub9ZC}4qDP~K>zi0v;z+TP1t z4uvus1|d!Ggg%PmXrjCT-nQarG z0R@2Jjy`o5cl9Q5y56zYWuV7OY}E$HKGSR64)1b@Lc^CpT_eUfp$gW>%0rEV3H0s8 zw;SRYY(Ra&;+#e8q~d);s)0M8;t#sL>b5%vnL!o;5HSJ=PtP5NTZP;&8Kf^%&t47X zgEu=P{_WTLGLh|l+z!-Y+4)G`Bu(=O*`IJ_o69HI(@@bgUvWp=ZFFW~N5#2!Lsi%0 zz3laIq;_(|p~h?9@P_^F+P_7p zfnh4tvc#pJA-b5h@|A%ugH(X}(&5kXC{FH%>Skp(&$~{gS#>i+@&_915DAo%R+H_coH@00$MY#K28H9l#cb*&$7jSyG_+%6Ud5?}cI35&?#naqZ*(+h zVv`b19upU#Y-;~oydMjqlan3)G3jR#qPy+G#F(m9Vc5KiLq$jJ| zkhuL}VBm+=@kp2&Kc$D}><&&QpccrG5a|pO6KD1Mk^WFWax2VWZL7Z3=T%;ySb!4m z|5UvkkGh+x`v(GYDPV!9SNuG9ij&g@!>i!wxtG3@)3!i~ zOKH&McgN#5+$SZT>*M0`?U~D{w@I>?jOdS6SY`i{0Yz-jxf#YZ* zEp+fXS>C(&u){%O_1vW@ z5xiL$RS_-@Baj3ICJ0E73OEfAYSin4q%jGd7PD61>3Il$W0>^_s$qw9&;vQG%LUSzs{sQcF@tG*_gU!)^2|=Nh)?cNvy}JTAO9>3~Bid zSX-9f)12~gGc{4Zu@L@Y+y!op*+bA}1LKt7JIh1*u9~Qe%WA+nUe0o(47a`B91fJW zg+Gbe(bmj|(`G^FEC9~b{v#B)z{$XM7S>99e3jEzlLgZSC*X`(#msdUjhOZNLjWdI zVSYFhOeL=c@#pM-ApYDPJa-)0@Mc|DnI@lx0%;Gb{o!!HP&@fEO6rI}>SqL-!r#m@ zg7n}Fb$eU^`N6|CznN8=H^IiQC7aT@jz(mGT;|sW30E#QM zLIY(P$jQkF4#mkGZ|jNrYRqlq}r2BA3MMuWflk|8#wt8^IiKevV zQ4c*C0qqlt#vu%8+$|ItO#4YwxZK@BD%G@~O6W;e^;9%V;#E)hQ$F|n$D$rFBh#1k znRPjcX+{H+oATF||H6KFpjoA@K#bLg}#_?-?rmuH4KIU@lUJU!bx!vtG7 zqh+pr`uo+S`Cd24mWJ<^Ww3a3(_URX+D)u-_;(?{f|YwVw}+O2h1xu!P_lW2^AVew zq;nCx?QVDrJUx%ox3OD8)uD6-Cu(DBLJ3+Et`%Anp4jb_@@8wo5I|xl_djFb1~C9G zG+Owo{Upf|5|y$t*7E74v@OeGp74oN{?G(;Gi9x&n@It`vR1gCsSBdHGovWs!j z*rai6;6}sg(vauB>=EdY^%%FwHL)JaV0^)XxEsTtlA;F9=3rF-l^J`dglz&@!C34t zwnH0LwC*aX+U_eDdu7bnvzpaA$h_Dd^M%mBKu=RI#+)~xAJ$a2>aTDG^M;5SzG_e| z>YM9Sq&3(N%a%3#6u8Gp01cj=vhn4{w8` zcgYXv;0S6Lrls-;QuT~InpjiyR4SO*H#zFCqeiGjmKv61y#9EVWwJAh(lMyWW)na@ zu%uG>f*J?8eklxeLgMnGL+xbAAwfD0nYB)rj*(o#ELy;8uir`w1qmD0SW^vbAFC;S zS|Rtce?u#Z@!RYXSJq51Y2E&*SMj-9?zIsWOb5xE=kyRnhJHgWT`7FVQ8i=-w2qUN!)}7w zwPC^`DnBOl+!)A$G?ZB+6FvH9DG~s}UBYf~T3*GRch-}+?}#F{!2t#6iPP755|JoY zs;R1J(cq=h`L(6nned=yFfc!&=+lmN(hM1zuD0f!tcE()c0^ne&gz5u^HARO^B`QE zsx93Su1?i@HjCw4{EbmH+LnQUX33UqJCDe-wh1D=0)*^6qRZi?$<3a%UlI5pbN*A z4p&Un!$}UO$(F(ZO;>*`8jT7h3dyKgH4WkYooh&|6thXKpGle4NRuZgaAQUB8l_@9 z@``bTI`SAnork}f$O8*n^9-+>Fp+E=%kLQ5v-)BiU!z`0DWBoWUNFgl0X!c87NX1x zVhKEkm%m5)LL&R18@;9OKJTi6LrRtuzj37ypw6Ey7f^s4TETGfQ~tc=Kj7hNawYs* z8@*$V;_T29P+#iZ_DLoUPF{!uM~xH&j4!cmUf6k?7~s+R6)t<}IH`Gw=g(Ci=`A$u z35S{ch3@dj01!NuUS|SGTLD6YtanN8g^{NIS9@nfE_)mL3NWYP?0e+kSo`Ulvsg>5 zq<^A$mE|HG1xSQ6gCCR#trD%}$nVL~-}5_F-GP+cvG)9E0&O=XkTh&J%=8!!kl?Xg z+Z)vgWUR{8Z*_*%K;u^3O`4901jzg4`5j?!QUud>jrs9t4z6K(W|7b`qi8>ymWLpAelbC zs@KORqPaEIqtjstJ0^H?HZwecyJsA;H$tWaZs;^1@vsyh`%ljU>-;^NLoTmOCQEGP z^RjBfw0BU<$z0Yorz%-4rC^yD1?~YwXh|(ebIXPnvVDUP_-Sn|O$CB&i~Z@C8&l6A zdB(W%$iQ?@pXD+ED##0LR!2P(EjwQ$trP5t?3&iGsZ`sN9m9#446}S;lbUIZ<*aTi zW_<)z(*uB>K3$)%Tuz}2Iw)E`l!^vhpCu1ETutSxllka_PrDohL_hQSf{071oQT6M zGyMKS-!fvCb_<8Num5IhqSbphkTqT*n5S$?LtXCPs%w(=hzb%ZrFhMbD44CvF!4(P zEZ`T?61|j->@S9XqP?s!|KSU~e&?a{Vz^UXNoigkNGkD~+gc-TYQlT{rU}nEel1s= z2@@G5Neu_zBIugA#4b?veAUJF zm5W$svL-6MUxk0n_!~;F0xE?M4r_vO{~y}Pf%|x_(J)WvZ&uZ6#u1SXv$MXcGeEEo z0w8!ml`lI9WS{3%`K=@KnMC=qk+B@+!KWp&=m*c5iOjwfDB}b`o)9)jX~m=U&grCK zGB1FteYz%3xTa-g0FCj1eh5wH156RQ8h>Ma0F60}Nih##DiSGQRlPxCcK?3MnQ~ zE|_k)4uR@t^9T4VJeFVMZ|rQasyu@gWYE=SU5q$3#yCTJPfbEIw1>ECcF}422Ec&F zay~=7^$}-TO)WAaO+2#J^LOcsw3+B~W!Wn+K+Y zenXHw$06YsW5>pQgD6;9^Jnf~2>#4N3wb0AjUS}^H3q5b5IgnJK@vB0;i6y`ld)s5 z;j!F?zcGRjVv=^rMTnMmLSkSKfi)zlGa@HWS)*bpds>n?C+W}NEsVY0jt~JO8r@Sv z7Q&gT)(vpW49Jk&K7&Wgsn+M}Y=i83 z{Jm54zVfm(WZ=zCd!MdTK;AXK5DIutb_qS74+qW612?$2w zAy#bHqxZ2~M3kuv;GGm8$FrcW0~2UQ6dmzH>f9%;s6Vqh6S5u)BvjO%jNpAHq!_H5 z7t(hbJ2Z4taK`(zO2aJ&p~v}Qj&+C9yPykb;Kf7~%@4m{G7~ycz%WYw9Rk%c%LMoD z@i&IqB&6J6S|W5GxP30U6H??S^ztX21EIAeUT!1JF`xuYVG7U(MOEGQ2PE-~a#%6cZxCy>e0$eo%pyH7ea!PESON?K>fK!~5$wad|d%8K$Y zVz%*BuUfVCyu=zci@^}rPr@tUu^?^=a19&-b@ow3&o@F{N7&u8eNs@iy}a6;;~IBw z|G?`_k8df8x?>pJRs&{(z}*0zku+XCN-d1{gOZq+KS$`5uVUlwR|N;vtS$~cW)mfL zBR!sXPz@FUf?S83yP$`f19MhvCUaK^YW0wY@hK@3KRtMcBb%TOs${8=se$$(J51bf zCp38FG3`jk>uz05HV?4I5~Z7O^93^<8~aDHR!&ZVgDM@6P3JWF!y@W`Rm1V-}1Jwc7(r$P{iNVqjBCL&W%P3t;u#TS;2E2yV=^F! z)QMY(t0e~YYVQQbWZ&4}zy=bAERp>g>5Peb7W8ZtY#J*%Fb5hg^CU>ro5ZoD(5_#k z1W|vT-ap?Rb8+1eegO~}UqH>u+$!)fEQ+9>l65aW zOEzPg{N`7JVdrLt(N zfb|r*KabN)TA!bv&v>Mxo*%OwBMy&t5@Qn2;9GRv7zqL%%d_|!)hP5d_KcrOjh*`@ zk=A{=Sh%$<6AI*NuWjX;0xh2|`+WA;+s+tbWCFFAOSgZ&f(8Q_9K+4z$ zW<=3Lcfvrjd)$pxulqBtkKGmZxDowEPj@NlGbJ7JW#*?sl$i%gDLE7zO9`ZYa|>Cs zS#a3JH$hc(x~=NAOxB)7KUF9xYFo@Svk72G3)Po zhPgAB<=Ro>Wns5)=$U|qWvyg;Q5_hlOX_$bwP)?bOeQooF#F#wYZjwviek<}!ee8& z7@kL@*7`hRdc~QB6~s0cRPtWQmC2R=L?HQVIk){O5^bFs%Ll`d znhOGJ>voux(Act${E$u^i`P67v72c^czTQ=UOf|Hf&m|87W+Q|4tGc_M2-K*a7hB;5IZm! zJy+;w8KdI}sg3&J;2;&aYS8F>&k3S_pNOW_ec_b#bi}Q-Rx$bl1p|sn!gz>GIUZ~5 zm?Pm(NNdTp8H-Q%y}u&Yyo@CrF{N>wR^ z)W3r4?wHM`)cPp(z+>@kXkoL zYP9w91RANjBd&RGQ_wrXQS&Bo=g?q>sVsuV$E4sS3SZzMl0Qkez38FaR*@wSiw657 zI%x~KA;;8+(V2_uhHUv80@Sh1qOq^zZ~dr6tffbX6fh%JjVfC78brok)88;0dK*Ru zQGZ{LRDzMpTQ-SDV+ei1msf7V&fj&}_)@Cy`=hDrj;?f|` z{HuRs$3Vi&SSysC8YUpBb$3@EF@`Fz8mfz z7;Bk&vbLQ^M$N0b4U~;=orO`IV}|>*&HzEMVoJ-XqNmH&kaA3EDgP{(X)SNM%gB+~ z`f|`ad~OfB3-cKQs@d)wuQ(Ghr4+P4#mS(SdsBHm85zS=#6#D}|VQhaD8-5Rq+3vzG#KGVmEnYU=yz+-XiI|PJkIUL}1leI|v zI*Du)Jjdz#0_i|U&9FOIm}dj zt20QjycN{G;bL4-qY#hTC7m}_ z%PDY9M~389O*XZx>p?c3Z?&pxHp5SQTe?SVZq6wQPB|rMw(I-^Hq7XGrm(i>CONasW_5-M2PSL5kg^N}!2cIXsHHR8eLkYgWEXIbv0=ld11^}PR!6sPHq_B=p2H(y>b6)QEz#y2@}W0&Sv}*I zk8mfwYR9J8Q8jCtOn3nqqTe>&VIUeTJ|zU+$$oE9wW}dPd*3JN4m~` z?a%w4K`FsjU#hRhrMH~Z<+&cCxYY|jzUY9knx+pKuwgY1d*PATU|}^)2QpT}PI%bw z->`C`u$t!i@T+;)|9W+FKVdaZ-+|S}zWLQ3th|1su$rdh7_bZWU48h+=Y-XWDa7Cz zVK2Ep+#sy(qpvKldC1!w7hY1;{5 zHOjzR z*h#@xHvGH3n*jSZ0d~Ra#_y+#cjtBiHtd_Hlcrz&Nj%E|0_=jX{Pe|c^}y->VkbYkGi%(SbgW&R);=3 zAmW?m1N_v!!{4qHX^;l`d7SV!?enXhA`Q|M0AqE*zSqBS|3kvQX^8))R&V&Q+e%?I z4czmq`P13Be}l-s?-g)TfbY%y-Vt#^qwNOlg4He0+e8{f1LG&9>y~BL9ufA95l>+? zzwiGhzF#D)9;~m{_;&#YzwG;wc#F|2`$;La)iGYAK`>>-)%;GjE&aVngQ#|yunTsw zJ<;u6Vc)3j{N(DLSFJxPtVZqLTwQ?guSUKl(jdBuaka*M3RZu;3sXaN52zR(|{waHf|cflkeJIcuZK0 z>a&TH0vbGU#Z7aB)ew&nSM#tB4(kvl35^=^JK-^S=wItE5n$6`Apey-?8AHCyg^v~ zoW7GY(%=uj94y{q8nrWE7kp)M*!hbD*fbRPQ>#<&@2(V9)6g3KmHfVs?)gx>4I=~$ z7Mx}J7tdcVz@`zkpV~=g=r#4i>XC&w;dj!$D09BBnuffLeHY;5_-;wu_w#4l_m7vC zJtx3^;cTlX@D}~iB39t+Bee$x!Q-swo zpKbLi+w&I*t7)Xiz+eIF{KCH13ae=(=cmq6Zapm0;H!mS$=@Av=_expeoa_iuoGp7 z=cup~8ZR++Qm|TGFsO^LnubF7)f~QyxecFZ9H1uGs7HP1k$0hNT!fF~w;P=gcWzT1Q z&kCz)lz?B&f2F0$C30jMKQMLzr^CZ8-gV#Y0_-==hLiJuPDFfDhra>4;43e9=JTBb zZ0gMasnr+$-@99c)znXKtQM)NsMj|`#ale(Z2P|W=Y7fr*wj4_unn2UWAJBx-FI48 zO?~gi>H-@4`9Et!oKSZEGW-$k#AG&r*mHh-2^KQ-`L0XB8(8W=2~*lXsU z7H=#y3U*}J){`HfOh;;Ig!ms2pc>TP~?hs(V zBVe$ApWLv$O}v*C0_*~u+;ri;b_uZG6<`<8;LT4wFVf)L!qxog+%or;BLeJs!s>#Z z^xt-BrLem4Y^!g(AXqP~e(!9nZ-0E+4Z`Yw3abmwa=^O}bP-nnpT64APx!C=&9+`6 zgw<67?1Hbn|fmk*b4;M1^d3UVyJkF7YeWoR^PStx;F&aiwals zH2C}Tj*GYWePMOMzVCiCD01X~6|UxC-}BCt6T(hD&{v4|?RA>B8zI0_=jX9Q=>>7YVDEo^AF0Up)7auzFeHPI#(%ptw)9u=>NZ zt$y&~AF71aADwL{e|o!7q``j+unWHO&;Okw^4OZQt$xUIm$>g*VJ8JN`0zujh?C_4 z?1I&g%=xlL_{tRmY}hwXRgZ32v_)9GvT!wz@5g)ErUVkd0 z(5+eIgP#?y<}oNO2$KZ?QL+%xJBAspZOB*kpF05WF?4;l;Unz=I3agt1 z*adfI^aD#p`VI-O3vlx4TVusr92Q^~?Bul#cZ#=o-Pu-;?b>mNu)df2l_s7On z!s_*ftNGIz|MxdV8r-0-KBK&xu>J{Ao7pI=F8IocT`#>z*vY1|t)6s$WDcy(cjv8ynj(WjShV;0V%uuXU@RL>Rx zYFV6+OxAM;>9vRW`quiLy}pd(CPLy!`M1zed-m94YCdxMhnx#C-()%MH!GhorQ(kO z8XgN($}zu=%=nCiJxse`5UJuWZWcSS z(1hIQOJL6P)I@mm!KBps(pVe8InPj^1^dMcC128LC5{jufIY%vp~^jfN>HhjEW!+= z4RanM6_4D5r zyUOYzwQH8x9AXtrCijg=Wgm&C1bdq1Lun&H53{`)7oesOj|gax=f#wLC@^_oI%#|` zYK?X@KIr#_+zk)7tRAjtDltIL`^{#4$XgiIQWJ@8*GR&GUf>G$NFP-smpuNE%eL9!F0c9aMbMw807wj;>hx3!b_?e3VPEphu>G!+l~xi5dY)R9Ys z;0+4J_H(N})>{5EZ&DpU z>mp}DY;oiZc@^WHA$xfS&I}$4wa&yd0|t{yeU3XmvI07nr&sWqXnPKhKNd`#*YzSO z^PYFklZk9%a?9A-On!#%bmVojMB;W1&(x$7kpc<9sSq3>B%&>Z3S z@lL|5L*@pGS*YEoXKZ356&?$$`n|_>>Pv<#Nxj&o?mv}ZE z&EZJHjFdF`f#cO?ENB^Qn&zA`xrU9UXinj>|3HTrY$R9PnD#|he3B3=WRqd@iXi3v z3BbT(`H%t>61xGU4{&_`sG^mlp;69jTuo0XHa`u0wyt&92{PeO#srGHWQnmK>6=8x z{0I0uJeGgsZzh@{|6l9=cEvhB8NSia)nHAnXJ! z?NaN&U@(6yKHzJov$ggmUpVzie8AqAjT_K?0N%@3-b(r*NG0%c)7lL`r4a zs;2^wQJj z=0Gr?sClRrda*<4Y2GW97?SmCfX;3Uwb=_PB$M`0y(+wD$Z@h{h#<3DXH8)((h?4i zK_e?=;%`-F;kXQqHr|Q)`DG3&14F*>hbk8lBTRi@%K0YgvUa? z0OD>z7XsD~n!rUd())0(ssHEc8QDws*z5)_UT$s8(~nHMt|$8i=)b!mjdpO^m&J@uS&@qx5KgzSnEkL0jNW zgW*l^Sg3=7#}V|W3~J+Ir7hQOCR?xb^9*I*{cf$WXNYR{5y4*M>>5%Rh6pd9wdZTu zGKXxP!vjm#)&+u1tM0JH=%mAR$SjEC8*@=VMHhr%B;P^ZH1}Wj})L^&|iJRY_)hMY)lT$V-{+Mg1xwK+xy0nboMGel5g(?N`~< zWAlB(e!YP<3fE=7IxH_o4;+uumVhuO&6q)p6vpHqb;9VR%}Z9w zFbQq|JQnKK5jWZ0l1Y1zl8QbZwwkOR#zU>`)8y0Yglc#EFXkFQ^S;HI(6r&rLsh3b zdEbDK!(LMH-VV1leEM6D;=eE6<@C3yFr8yH=j%s(*Q$#nwNz5c|`3OC7 zrV!iaX(T3Zp6U&IY%No4&@G4`f|w5lerZ-opmqn>nqF<{Q!=h1h;}Hh3Qudt&)VI* znZ%m~F)%qkMYjNQ(Wuc2#Ru@11wg${20U(@Dk6b||BM_a<+$)TqWe zUGaUZlay54Af+T26FJ7J#>^&hcnFM(u7f=n=rvG~qfPaEdfoNVCMc4XHs9p+4cYiB zmm1@&AgH;NlBSM_Dj1{j&k<%p@zZ+zjnX(2KVdUO3H#STiR8LkXhu{kus2fk?i>RfW~Bi8{&dJ9?Ry=fT7@8Yli#PqMwuMKDMY-eQ6*+q`Frkizhe;WW8{L$TCa4s$!3sv2E(i1v9!=vayl&dd_vj?cxmVO^<>xh5PyRYylvBq zQ{85>b{y(*AHadfvZW9nG=1=bfV~CyK{sfg)17C9)j#T0jwIqT3}UkJeDsgV1X>Ufr5&? zwctkHeVkO>&3|^q1$ysUH;Hf^Mo=?l0^rNStpX#&=Dko3=7n;wfGd`6uFLqHA)iN> zh9rAK=HPg|80=baHMVH5HfWow4^OQB9;R0v&9<0%c~} zHhq`CGFi<%DvU6_Y9{G6&zb6VvE$q~p)UtAa6=VP)ES1ljOrUZo$baB5ge`M1O*hk zP~h~c4@sjhW|bOieA2go20Hb)t?_MpBGtG!?_Gn_t2~@Nf|@NOq8)|1gj=AGN?i2e zbW;5xPefJs>%ACUBw_I096);$pul6<*?F5#(;Lz{W|b|2w!pP6Yg_q8$$V+Fe2LwG z3{q=jI5P%4qKeSox+lIq7Rk-1y6R&!-BjLTw?{#FUYPgoZLr5dUM;`D{sVBk){-{6 zsw#8W)g{M5=^!>*@^M`~nb7*G^M!Mw~nV^K)Wu zx7lFwA$SKf&37R@gI!a>DCe~*=H0K2Bk?f;<6Hj5G1(uFKQSZcNMNhCuxxg|M(vMb zBtoGIZVGUwB(W7VxUn8?C*_Y=qi{x#`24AkSr1#)g29BrfHe9pZCXrsUW~WuyVb}Y zgbTEoNNNp`j%;ip>5T&Gj~^=4#W=B<{S}eonN*BwA5V>#N8s=9Sa#!Y{dCPQ2~+Fx zTKk#vR{oAS>h6rX8td+CR^{37DcY#U`G=?36S2^$fkA%;+qlDAAQRWvIDp}L4?>2m zb7ncXuh7DSR&UF0g$d8Ub$Z%rZ}+BWxK`ZaQU?jjjh~yZjV>VubuWb~aQt-oCcN-P zJAE5St55XrwnFPAd2h`%DQj+cY``&D2^h48P=^CFcr0y&P@$D~kh)Aav|C8-Ji9d! z>L^ZPHyJjvY2GkkH0cwlFT!OZ#NCGuy9iBQ90*LyEbsNTYBhwyHSj9NyZ6yo3B-ck zd-LEX0bOKw- zL{bl_O6%!FjCL6`8is~~X~UC;a+Pf|UWgBfP=z(MW_MSzuNyC2I$k{H^EUba=gMKf zH=kcM`n{nh!yqP%O&an56nL%m+2GJF@*qq|tavM^4*64!M$Kr)WNy6(Nu&J$^#4t`nzGPJ&0yz=mPKwy>O@=63xTD{4t~HC1^}37 z{0?DKIyQC=UE*ae60))$2Boj&(NX;{v+c6P>~(|0#%#OqI|GCk7@A`6yC8`T3cFIC zQf1h^QWS@_=(b(@d!KhmqzI-FfMO5`s>ZpYfMi%cKtXf212{%nJGYZN$?328jn00# zQOze>hK>Z+daN#-R}4?sivW?y@q?W=3(7z82q3P?VWbMW=}A@gRA@-maH~T&xIiG^ z*AyYR&DQ}KJeEWHHj!TGxD8Nh^-+n-{y=K}z;83629lYM1#?{<0<9W?`4E+8fx7Z% zNp48RV;|$3&fRtwX>RCY2NSGr<8l`a$ABh((0q$Dbaz^b(MpUD>l{AQcR|JeIwY4x z%b-|&$%le4SUV<@@lKFtFLq^Pw56J4njYx0V1BJ5odHAa0bJJL*Sb7J`U0H~dMjVz zyejNMO-lFiwFWamZzB4~ArTk#Yb8x17-X_R^FJW)0vVaa-zd$4U6X_cXc@%=k_Qw) znBS|;-ObC$mzBTK={)-eO!hdq@f#>3neQ`&@C+GAjXi%}8xK)7X9JlvN8qr^s+iB` z+nc>+8qE6!A?foa&A1@TasF05D%g&I;afPV30y3UJwc(fl$+$#zmr6p>Itb6qDrY18VT|) zfutnO(=`b?caE`~;}k063sjSk!&*CyBcAmn?GYUUhlZjikr*(>8FRgf(=ZNS75OY| zy2=qdeE=jpmj6-E!nwkjJZ^$h8;8mtaj}j{c7P}FH-^eQxKZ^fVT;~_wmNfpU3u3^ z*(ckVRydt#B={uNjD+*f-z);8DC8J+Sk>J3pN65U-a4Ma)I6nIM_H$8MoJJM!(K;c zfbfY5b=*%md4hPLv%H#9GB(dC>Z;Z{Q*YfEPFm-}07=-a?Dv~pS`d58R>)D%+`+)) zH3@^jaD8*&Svkpl|KW;px~<@F5<|Cu31No2;AH2GqMHLK6GvJtBCU(2b$i@*oD$~8{f`O)*B`#MKyXq+c)9+Rc zAlZrMjcp=Os<6NX(h_Pef1OHe$D#;O z!3cuHgMfCT^&?5+i}qY%P2=;aP@=pg?&4xEtNs=6dgJBibT|_@swb(YOvAbY;12@0 z@K{s|UtnS9TC~{%T?UaJ4~Kiq9AoW%PmYMZE9Em~UK4=R*>ADFTRMD7M@;cK_+vk$ zNGkI%iAM9~tz5a9_*V)Xf7AGA-XG4ryKIKd-2@XEV8B5^(@zM^VR){%D?&9SA0P%U zqee!HPC(!m^0Ly^IW-lj&Suf3)%(D0YH0Lj#yA@8utu>*ZVGfWLr`JI9bGpqE~3x{ zw17)Lr0G4G%C_TVL7QOEjhuD2-WfKVaGHt~N()^K6?Z!mOewE5_N|-?zPtr0H|BMFcXj40~6MJ0~ys_JRl zt~M*`SjP^Yif?1H)+vU!AvYyO+Qq(s( zj-YI!c}s3=x;jKTaj;fW4Feiceg(pW1MNwnYob)|(Wqw3w(3v9Qk^FiTj)~Zbii8m zme9+#tUL4%?=D>S*I9Vo>KJIaNe%))-Dh5`(X9XwoC5TkJ>BzSa{d)I-z7Juv%M~e zl8Jytnmt$kuRt(0x%`=!8(Xo#fM`yC=FGF=^MvoB;ykanI@@1L4wUjcRj&%<109WZ zwnQ_)R8+6Q6Yy+x!=d>6Gktz0PvbSut%k9hlDo0_wU87YQI{j;xH?RqOQj5h4Q~Vyc4uOfC9pwjY4jkhkLE4~kgZNEec&1IJBrR#B ze%x`=Fph^>y_^a5gpKPN{vdZqz0L$NorKSZ6q~wT#M%^Vs(T@rvJLq-rW(g|aJ1|l zYi~X;hEqL4nSdF*#6w~TxJX9;P&Z3$N+*F!O@{z+4Wu^J@S?qZeIT7|+kS~d1(5l4 z*c^g}?&P$Zo^>WhDl zznLrnG*wmO!$-b_#&zEceE85mXpkK=Z;y+ZBc`~)1I3phID-KL&49}|q`Bu-mW(5H z6Jt4|)Rlw~jk+HuFhA=|B-&P$c*7a&`j)dh40{h1EhaH~Q_upV0kMa684ML%wiLWk zA4VN=?XqOP#I<56fy5MEul9$6h7STg{0!=)`i8YL28jXVJ@cA}a%W2mX>Ac0dTT3@ z0-?6$x2LnYA+Z5+C}|2%gvu~|nT7@Gr(>M{a{P^Ani?F?v)oTj)%NKVNp5O1(U_f* zQPZ=5lW1|R$GU5R?Ww^mng!~EM!BhMT1PuG<3=ZH^n2Zb4X3CtT z+>>=lxJ;O)%2ktpE(3VlC^1Mq3pvzq{|c88CWo2A5HH zswSXk)aC3>lP{FP3m7?FgTM^FKo4HYQ}e5`9mb|(%l?Hw21?D0x+Rl6s&~QhdBqkNI%9{%@dG0L+MvKKtuSqBH-B2`ZAv;G z7!l9Wq#A#Kn1wk@@(11uW#D*-M};xb zAepLuJ0B(kR$Lp#$$;g%{h?4ia$oA%teG~@3UAfjNyRsGek;UkQ)rw)6zay~r155Z z%sIUACMl>iHQW%((k`<47(9$ZWy~>(=)PmLd?UiuX?ZaG6`tao;J+L#%jmGp5p!#D$}AhvJPlTGDkkxOwu0+OmWDe(JTy=@E8$Io?Reo zgsYV*Gyo3-WE)O;UQgBXUg zIkG0QxiD1m?FbntQ;=_A)F8m2w&-n=tDb9%wpG8QcuR6iXIq`3QRdR41pqdegvSwQ~VnND#f&<=QtgC ziDX`JI*|j*@6S#LNgsVFEMd$x60tc9UWZtC8X&?`{96jSfHHzwgn!~A z&l1;@wx+`$B!fdHKWcMc*7YR#{K|WOoJ@Z)JAaQeJ9T#N75=~%<-OxMhjX4$CV_|g zM*s{@@g1FC0QEBY0QHK7E9cdds>)#;*Wc5y1+yp0V|&5tYdEud14PD62X=-C(Mhj1 zLUY;rc2cu}XU|n%>lT^al`sba6azrrjaPhUXMk`!;BtUxzF#+TJ$Nl2?Bz{He%(D6 zF?4-3l#*w}j($exL@0j6Ozh=J`Yr^ma}Bot-{EhRq^XYrA~9M5>XFL=l`*(g{)sjxpK`ZXDfe~$nuB^%P9hsB?v0%l^(F5>z)8_ql#^x591Rb5y-0|G`b zamq`^&3+s(!c3IADMWU&e?WyQsI_))sT@VBM)COF^|NC^wQ1gmu4n>G6et=o>rc?? zVPAbu=S@QE=9H$fe0n1|UvJ`})^uk!8gYpl%i{nDp5l8u0|a>`Q|bTevJ+%NZMHf7 zmylwc@S!@;=xH8l_vS~|_VL)X8B}ty=|4fw?hpV9PcdpMCMSjq2Q;hd?$;N<{W^+2 zri!bzIP6~H@=yogs<`ckkhf!F@y#(?EcEUR0e{f2Td#(<=nl7HboLgoAfV)+<_lOB zA+-s&ORC+S&)aIga%Z`bfSVTP)%5sPven_lEU`=`Y`8g)`WVhpuv3moElqliieDcI zn8cBQNx=}Cbw3*kNZjVsFK)s>@n9Vu8sAKF#FrTyItXrhDFs^NX=u9at0xP5!W$Yg zk#yRhtMay538v3w|~z?_5n}HR{gEInsYQSV0$nTjVfVeHV(dcx-5hY-hc55fK z-K|!4TWxor*WXdwEn?$m1cDM1PO7Hk7!`rR01lpF+_pdwV3~WhQjwD%wh-k*!T#z< zkI_YTHeofI)Z^hZ)`_t}6CT_XP(u}MBH+5?7yQF88k^!CLMip(jdmPyc19?n7x#|Kvhtcjav-*+n znLdncm?>+F6+D5zF=Y*NhBDg3u$4zhw(k2}W8Js148);j(Er#tG@EOxOQaPKWY9Ze zS#E+6(g9;)Xyg~%1qfx+_%HPBXb#oQ)gA#xc3AomsUfCX+eDW;|D zep3Ett1Y{x`~ff68iVW+p?V+F5NAjI@(VGK;2dXYT#qRWx0+K?`Z zJnnFEg;_IWzF^6>8@i7y(Ln-=4-pHBzKp~D;H#oGcNQjzKrS>@COUW z1=CtW@VbQ%MA$4&{@#8t;Fl*zi!Zn3a!~5)Q&JO*FT;W){33XYt(^hGjln=4plju4 zBw82BMcbfqI#g0J^HD-D*`+Q1+h}zDstrv^e@UYJ8JiPRf>Yj5*pRHh)OyVvrc%$nTQKD&ckI$h$m6sbB!p%L3;Z}bgM`wTv=%mQ ze}6UUcwcuI#C|*3ZSMv0tW{ZY!Dp$|n#3nx+H>LDqB?)r^tACYh35^}h6w;Z&^%7E zhUCGrUcHD^-iuEj?5MgKrP|CGIL4z!y32Fi5x!u6G%x`3kM%U@_Nz(m=7?3wU5iYP zjih_co^3wSC+(*tQFa&S`9vLr$)QmcFr#LWL*UHU)1X(r2_xy=H&IcgDvVX zusVp_=8FO}kiC#J2|^7_x8I#Z2Eky%{A5cwdIzDE#Sl${+2HApKcppVq$!3|m>_c` zV>mByn@pI!WPHDYQc#v;t!nio4B*yHW3jlCAjA8Ln8GN|jnG7OPapWxhc$Pw{I4G#~-ETPe!W$`(IM%2z}a{_mC;jU$2Xe`+~cBct0467(-tu z-~^KIGMYp<(ge*K(oH-++H=UM&*6a(I~O297QqbAv7KRpA{o*m!z=qhMP@%|HdpGu z%I6ys=?}qJdW`A!`2YTmnqFbt#X8p{+k;{OSa$ z=E|t2BnH-6CS)X+qDIXSF`+YD3`1cE4Z12sNi?%3VVBdplgSwd9@fr#HkxotN2B3z zvTomiAyDvXCNU!-{s=(fDK4SFIl}8<36|3PF=ZgJdj=)ya+6YubNT?{z{n0ajtB-d z8rnw$ATrrB(b%rR*wC$*q}su!Gof-hG?Pceo^2z*F*ieAI4^3C;s9Z9&`e*#%gxl9 zNu2?MOIXIlb}%phMxqri;%uvUBbf2zDkc)F$k4JpUv7wG@^ZY&)v7u%gC>~b>Ru5U zO#1x|-%!9lUdP}1QOy7*{DJ))kTieeqA#J>#F8(0C-lp+{zJ1I_YD|E5>^x8$Uc}y z@C}4#C>wA$!6l)WJ}w)-nJgbKdUeA)ebQ~TENj~bPh{QEA@BZBn-5JZsToIdCTSxp zVG6xde}P8Y^zuux7Os=#*fx*~o5Cyf)vrhO`r<@1V)!tp0YJu^CKv7)3ej=aFBmp+ z{yUCT{FmcRqbsbsVFSK&gEt{HvzzCl&;(WiMs1oJ2v2WGknKNsRB8KnN@&RTwiv3W zdMq8XuNA2vn}wMiQ-n{3+YHr!`e?&-UC73+{P!OG?wG1J)lAC8(4Xe_Ddq%P)Fv1f zryAQdE;H!RAf2%JRFL!zyPNu#=EsuWQbH`uk}_r*5>hYoWK1i>B804clqauigXU8Q z6FL9Jc*cySg)XxtV#!TWe;$H0G1X-TDlw+Su5am?w&~SJ87{l6p48mVe|*&(-Fkyc zq07v;^ay~+eBskOLxkD$G}`-B&o$&i$(Fk6b;;SQ$)1=97fj2a`CGu7oLpYyrlSnQ z8FQ2&`ob9>bL$u|2opqvUbDG>J2|kE->CXHPajpJ&Vl*gbH@ou0db|fu23f2c0)!G0Ld7cr!z> zC?kc-ADGmfQ6^-LJrq+D*nmjkH3(FF7%7zLh(P|x((uE0p}_eBy*X;{x2lQO+QD8$ z9$$N(GeV6`eQY~)Ad=H!_5#Deo5pqxzKg!^H08S|L%?^H5YKl{rL`^;Fu>LUSY9u`r<+SIFns(Mk~&=QJ<>C%U(~BXa6OLe1QMfV!!J<|{wVW~QEr8^Nypcs4)8>?><1m7 zD~ZHV2k>!~6S!4I-0ve?UHCDa{0o1h#0@ek56)*9)0QF6dr>1vR5vD~P1TLfVMuvj z++d4R$GJ8e7BHg7-V04{JX!+`Cm$eCk-$NT347_aIXU%0;!&pZN4O_FM7PYH5vv)` zzX?Dxt)RLyNVqc!M$bkSty|VkHh&n&dfGO9=<}Icfx6`Lh}|l;EXykjY6Ve;Drg1h z0EVY{F$K;D15|l14pfSUmfhV*+~T%I*Tl~ac;oS|Zd(*0fo2b;I)6YDSu=f)Ko6$j z+xySYNH-fc>ecWG%m`U>rcdxHKG5%v!Z%!XA(1y;#ryL{?z$U&E>H{{jd2_s{S}N< zmUf1TBil92x$-vz<~+Nlg#!bAL%1YXO^Dch3x4aDpb)kr>&zNL5alW}zn1A3(YQ5W z1e`fZEd*LQ3nqZi=Gk6FgKmC7OBrJVcov{Bdib#OE_*;soVq@q-v2c@9Cf>;!;!py z$iZ(C3B<3#s6*kD5bQ^Y7sD7~`&N)S z$f$z>u5&H&Ri+An;s*aCn2k_%Kn1i(f{M9L+nEl444+)%K(vS z;k6W^rWR5c5O_9&pjtpgX*+bJ`oB%%f&HIC2W62(0J~5L}oZ`0!Ch{XQnKYd^849vkW( z`Rm#UUI*5Z>NS79^=PkXmR2pRdD9DzGGjErgr|6=jsn0d7$QJgpc!jTTU*-yLQece z7k#t7bw$7(*l&rF`#r?hoBZ3I&SSYhDIo>CDUTBC_*LOXsH;+yZ}&y|bjh6lHb&gd zU{-|EX2Zh1@LI;`J~lpy(-ghh6#A#jE+yqxSZz}IFTCm2^2@ST8q(Fx9|%c>lconX z3_#g&dJDe=JE@GVM>KuHrBFNrn}py>TNzWwh->s5)&k z^?w!qX3{pc<)gGc=g34-agf*=D-HzIao|jVl>og(&vo5J>^;<` z#`FB%A@-sKF_F=+{cCSHIlg5Q%s7WIqvVxLLg88s6xSnoT}lpyzrs_z8vbiYNet2Y z^>%NSk6%Sn3m)gi@j>NKGqxsyvTI_qn|X@pj{|FfS_J<=c=!_P38of-tZ4e*oQWIA z+(`o6v^M-TrFh#;7ndeHIZQF}2F#)CHvm|SCBjqu2?Z;do!|vk2LVAsZ=dz`qW;5}eG(g8o~n*Kht!w?=H9@!MWs^Lb)QJ zQkPB|y5DQCZ6?<~r4Tv20pfF7)mKYpPg2#B*W$MxgjjIXyf<>R3u4+IG7|)lJF>6- ztn(%zlor1}!b=q~cgaYuN2A}>WpA9I+g zBC$u3DM#&Bi3qk~&nU_BOhpe-ItqZoQ!MES;OID&(vq9!+yNMr zBS;TOI1ItZ0DUij-c=t&BkAV%1CleeP$lTBp`kU1`Cmx?o%u(10~Y*EmAhQb{_mj> zKJ+Rk=c$3uWiq6h{`-RaC8xFUVf`LR;c4Ss4WWZi$f3Q9V~zwZbW4ZwM)Z3r0A;iv zLZCXg$5;S7#bNx-v|^8AWa zXzz|&a`s5cKHB`LVvD-ZmTg;p!~Ap*x^&-TwY#w-RQZ35eF=P1#rA)+O;A!5ci&G1 zTyaHt?t+5rQ=dNELR1J*+>q5RM1c_0vb<+e)C#C&QOaUM6L_{1lQ!YWs$vBt4N%g6 z%9hXso}__=VtDgbLY-IbLPyMGiQ9dU5Ai@in6*AH9)l#b0K-3 zO7`+~J3bWlR7N7=@;x5~a@%%Kvx#n0MRvERVaDfAtCQ<2(Nwz2e;7?pogy{R6Ji#j z9pBM{-R|%7Bf^o^oIEYC*#%8eK{b_W!FL@=(qf5U(tKv!uuQ1B+2s<(&Al-%4pY~u z_E)}?%{bbs{+dksuwEy+wdg(DbYEtb)C6oZvhohAQKm>G4~+x?NF|E=bnRWC}D3D=sSC~9&EU0%`Q*QoEH4!&e43rc%XQYye5ynS>A3Q#IUMGjOMRYE&dnuIyJd%*Ma#m8 zLVVY=76+Y!-K#F=Nviwd^rC7Vqpz?CQ{+4}_oB6mTc-(qXIkR{_eF_lpMPepdSfz; zya8QLfG4W53b0envZN7qAtUSvpApCGmKAh5= zZ7}m!AgT9;G5{*AJCcI+@RzD}Wna&Uv z?_5eQ9EDJqJE5t16mbaG1G66@bcyW%$c@_IS` z#}9F7glvx4)Cn03Q_6(wM~)t84CFeCm7zXl6F+slu;Dblq^&+IuhqvQ7InOZ3Z=-L z4WK5Ew~BE431tdrCn)-SU^vtF7vCm0YHp(dC$}UKk6!i*sk_eMYOJ{_6o84+?y~Cq zLiFo`O(05NQ{ocYZz1iG{9|U_NB#3YeKS;^DTh^vx$K&C2*a5&KK{%I>e>}SkC^;F zyqOHuTkT>>jE0krqeNDf|0L6c{*>lr1A@wHZ&Yij>wS3^mX&(RVZpjNr<3W++Xv^< zabFt+eZfLrz^nNV;{2p?J8;K}0^`qnwK0k_Z5yi{NlP4!F!c|`XMBJXA zeCD1)sNVO%Lrz=coM#*J`PN9vVHevfesQEb?p>dT{~5;%D?EhHTrX8S?lhKveh-ON9UiTUtNn9zo+b-L#u1E(i8^rpqPy} zR~;y%^CM@cGBLeCq}4UQ&a(*JR2gixsMVzpt?af7rzAc2@C>Z3&EN!L>IHcjDI#W$ zy}GuwBT0l&l~&hQQe29)v}4>gaP{^eyik>mM{{VMG}E$fTh7B)*R1YiudZzaaapV= z@6duW#`es>2%`)eFt?0sY&^cy%rRKUUYagCt{pwae0?otRh& z-rwQE>e>W-v%KB9MQ)auMJHAuu9fL^tRqQmdXQJwzNXbRPs~QEYhNc5`qj02x5aFY zm0#C{{cLqD;ym{1S}UWj^eNU05}v!DHXOK`PG7jmkxgZLCIXg2J)&-%)frwR;eaM# zoK#_2S!1hfakauKYtRVel~>nZ!0OryTpKk1-Jeg44$qxnb;9GD z7aus zPT6AAUX}Jow>w1VNW{7G`c;)5GURR|NvZR19c$rDvbpA}!{-V||Dqp2rraF%r&=11 z+G7Z+QSc={yhVz&dG-*ZsL1@^J64ufqYz3M+u-*Kyb%QEn>erxgQj zE~Gbl{~=cs--Fq;$d(Qx@{p2sv&x04a(y*7&u{a4>SzAd8bj=)RHC4TQM-!jsSI|z zI@Xis^I>U)Z$*IiR|WM{tN)=)1~U};*Q;AwSH9Bjjs$7^#ICX-C2##f!L9aX!y;K5 zqwZbkjFLe1ZDa-@k({DRth9vhHXPHiajnmDC{J zrv53|EB@4N$kt$bWq{kXnyQ=MyQb8+=& zP7>tg4C?W)(1hu=w>?R_1*=a$Xu>I5T!M3?6k)?lQSGdmack|fX};yIHwrqz0|UM? zA|+WBxVM5^dydJnc?&xWqZe|X0!JG6*Q5e&@q=zohXq!$T+*X{j{*^Xln7Gly#H8) z!y^0CPwk~3FKzb5#%LjFwe5?#!Qzt1u(hd<{O`%h%Nk{;Z+UV)Z4pzi{kO?&Cr$lH zrqNU=Eicjy?Aaj97|89qDr8tdknO#;Wo)#MiSefAM8y18Y+@;nAbkv)$c1h{0M?v3 z?K|Ov54eTI!L`pOz3JAYJto90F>>I`i(zfm1=2&&lhVg(2a%ocLN3zbN zSLHND3q`mbl54AS1epvHQXG&2<*S7Da?XNW*xe#8gVNDyi0#x~sEID{XaXC;r5ll? z)H$P8SvTTS+(DgLsvp4>QPD2fL~1wUO)?TmF$&hLm@hQU*Z1pP|E5r%?F;U*M&RV_ zOXjrgE&BOfR;_{BXtbos)(9W#a)NM{*;3Qv(j!0t7B}s%)a9LtebsB_FhZ9?&3WdTrl?^c`SBCYx+yc@^V$7YB&N^7P<2B)r*Yp(?|KiUT z+UpiuMK-L9In^AiR}_wRtSh!7GezsE*(CB7W$GL63ZKsfL z&e!w?tOdMAWGHnOL5~_i*BvRudQviBEq$2+UA?StimGZh$WMvI#SGh++_ui@^NWqs zmj0N@)YZP^52lAnkq28g{Pw99d9c=)E@%eG2Q^kSv*+uj4Avy2$FEBo*Yt-fw7+FPjQ6D6Au)t=g>o&e2G{0qY3(K1wN&mLl z(QR?f;Ma7+ig%x#7|!bP!k#zbdolKrrZUsk|g* zvtVajG8ERO8)_=KkMC;q`4hf) z^;ON0sB{pRPB7_~?##wxwM4ogsWHJ>gDH^FU~W@WVe&ZH1;=5JYm>xLRAaf*n@z`} zNudfDpU?V)=ACms(GNXa8=j}lT@&(CW;k7TC?$n-QnOm<+;PpFI#MPj4pu`7m~0Wc z+MV?`FY~!GT_3a9)wX!Cd0je?ZjBBcV2QvWnM{bPK@zJGvbtY&>QPLa?wxLR9y0uGn&8mPb)V9| zl!cqQv-+OufJG5&axycWPRlrFaGm;XSrFSSt_w96>G4ESUXnLU-IP-lX-QrRjqpIf zH-(4q6B;Y0_{3=cyE0mw(o}bEVVq-)%Z(^OAu*@vNEqDY@zPu-zo$AFQD4fDb*c|Z zwFk*J?;*X-r~FfYz}K=mV2@+9EuGf(rRaR~%%^m00JsuL+WM>=Le(yJJXrO+ScuNu z8Dob=s4gGLKuBFa(D~+>PX$R5C=k%fCqE5k-I;nMg_05TOmkjbLcVzhUA141J?9nE zwuObP1uh?jTv}6W^*q!C1er8eKb-00L|XPUdW(GX-qwG(>Kb{<<%m19DHjOJ+LrnB zjs$69D0#?jn!a7A-08{&XU0R~PBwm$`)A9N&s=fuj97r3JmRpsRdrcsr!$|y=<4R? z0iF$d)XkIb!owb+*HfaM1_+1%#O9BbHNbMg=WO0YNp@GW`k*W zDr{|YS*`lXRgJe0TzMk-7M6#?M_sOQsiTX6zSbqL`wzt}`HH=vR64u)&f7EAy7Hbh z1L&%afnY#c8#14zJ|2CSsid?t3%NjXd$uGfEE)*5TC{GASG(JeJS?sPlaDt}An{c)U(6^Uw_YnSL+;9N$V>)vFU^ho{X zaG4;UZp{S6)BFMJ(Nk;@3&}=ZWIkFf(!?$FL8V>KlWVL>L|Ug8-K6N#s=+&Jg{F77 zIn{vXXOdn|>zrx1SQe2yJc)qX5ka*-QKMx3OGm0fsKR9FSpTfh^rFKaS>7}xRcM`i zlHICBI4LaJnh@RD{RX!iBU&Pv)V5qKfkKsE`4Nkt}O@f&5HPKAd^79cF z)+dy~{?8gZ&9?d*Yuwg|ZQX&CrZb~yt|OY1%v~9EPVFJ-M8Os@CaoR(WH!3Yu+~QL zIjrjR06Lj6Dq%WRB-}{Sd%VPD3&4G zrbLY99zNoaUY2piI4wS0@ThvhL|XHg7>Uv9@Q2hU`7y@s=;MmGzL+74TG%gz@7c8F zY@xNA)fsF(FE~t{nS~a%TSQOn^Z?=y#^SDw+VpyHeF^tU#22JnBGCW%Atm!djix}XLV?=_YyTq=F35rI-@&m_UNh=!@w_ismT#(N$JU`?G>h>{;4lCL; zPhMz9N)Nrdm>_NVM;x?kXdCZEBZSn&dPV-}MZeWh4B6dRO|a2|H`$O1X>EBMUr^~L z*D$IaSI}T6LcbkUGW~=DLgk4zi>0OV#Goh9{^#;?8`1TPV$r!Lmd(^9zKB($?2A(i|U>y`JsT zCtKqjA=@}V>TYSASIAAUhO0z!PF#XvoSac!gr7w)psF$Y-qK61ur#Dlg~@dxC)pLZ zOj#wgPT|y;_Ewv$#@H<`b@3myRNDBj()%;FmWSw?lKBRdDT5)58hI%aZnuezg1x*k z7O$Vw*qGBT35pf#BZ4(w-jGU)zqlywVq--pUt8XE*SfDA^1bhZ#|>-UDab}hl1k%MPtcrQ}Y1=&)iLPDVcBT z_yn@N`U;Xe;;b_c2vuir!Jir)f_SB9gpqhmZK3@{QR##?cce&8cmZiOaOqD=h1w?l zB%mrPZ*a{xt(wk^g~Oza*ky@;#A)~M${DT z>$Z+nrFVyKCoQuqZ4&B!b=iV-oASBlb<7+j7?h>0GdVw$~}JI7)f1W>W!4<(v2JSWD77{L&`*^*1gRHr%AAy2LE| zl{f?n=c}3{rw~O&qV?%WkpzQ>G0|g-e-LVZb~}PKKZ#y-o@TFmlAIT6ehm4rlOht+ z&Yr@+KY-{_GGi@F6X?`?G5e!tBl$aP#0a5kr0(myT-ig~ocN5*88OnJG@#IM+n#)? zb;H8ocsgrn?ubxG+vt4}J%v_!kj&r(sh6RV*#wGQ>(Z=|AKM`c>5~PK_9f@S19s=L z5zXAz@Nu2!A7-yQ5OuQiEDAxd>ZGWb8IZ+_!qJZ|DVdS0av4DiLVigq%^FFo9dkx- zs~wZyqiCjnND8MtqX}jhLKOuDy^j;jr1cdfXZl%7*9mi$a^|0BthEw6fHqnbwj6jfJ|#L&AskfZ=z z%&akSCb`W|<^ptWU4j5z!;_g_j#w7EzjFS(HW`E@Rz|1$7-gMSP2mSa@a&-&%3a0_ zb!S*@k>q#6R(ggTmw| z_*rJEO&*{kb}d>1)H&sgfgy@W(!4c1fz4Yt9z7^D7hfo274d8jWq|(;s&})Jia?^QFjp=mSDKyyZ zwr4TZYIg3)<$HRwqmK%<=FQG;y@j76W9*JtTw`oJvmcSAWX7ju4^`%b*e5K#@)x4oaas?b9IyAFnMAzh4a4TvbvK2`C_BI_pT@cT=T7%+Q{y_BTDOooZ zFI9sMrGo0(or6fCb5Js)>9r~{9m6N>B3rfbGhy==dSTk$Gwt)Y`x~vXMq1eLhLWoH zseTrZp|6OK$<^R?HjBS?zaaEIm`S?h>A`oj#ca&yMLomU~RXDVZ_H)_O8k zk`C@n+yy>6a0C=_(^rpoT>t3)%{D&xVSj*(tHdi#O&R`+gl(C)+ z8uTBh$zp2OlQdZh@HXy%uw=ac<*RxsmfBcEv*e&d;HywFgR6=NQrtk<6K1a<+}svP zj*9y2H|`Vlo-hyRebz|C;b5LHA)oq{^iudSP^N)iZvlm##W8P43VL(+mDhx$ujmGs zJyRY^=X33=FLh#nR!umhJLMee#6Y4$$@~Ne@(n_!MMS11Q{dF8Ld7(DBv|o&C}FMm zr;QzRE-iLa1Sg*;M{sI-qrWrLxT{O{>u_p$drjY{Tb&eNOpj6|(v$2_I?aVNTY1HN z{p?jj(`-(5wl@z=Cez#Ie35iWD^F>Smv<3W#RLNvDUFv&;M00mh)LvC>o*BazhkYII^2J4@VR@aqKDIbq*egYm3RF{44N+I-!x-0#nunavdP{tL zsbJ}QrRa6`_YLlISzMaE>a0_~ytXmn|I(z9}^S9*?G)w}+CM=7^Pf`>=M{)!0mD z2*s<%4iF=3;VDtjcB<$Xo}OmZxsF2vb^s>+Atl~f57C~nhl&=SUQ-sHMAzA>e^PI1 z2xahl=9n~t1tu$J4JU7yIm7ky)vXQv+bA?ie#*O93tM>d7W~@0TEFlF?k@Ulv{{Gr zFj{!((nUDy>`3FKJv?sPIj6^%E2`8M%?H|2p_b;FtkaFv$fVD!Zi7dcQDn#h?Ubwf9K2WP>=a4scr;DN zT0c5UFH9;&L=l~BQY>b->-Hu?pHno0XF(6*iVb@tuE{1N#UxlY>k6TY3k|+`3>_6- zKhqesuz=%%fM);12%t3dbBtv11Un#gl@%4sgoX;eu&%yYnV8wgLEYQYAXdVxq2nUj#8GsOgWgXi|U&I0@?Y zKMG9|cP!Y{R7ebHTIYh!uc-lrU|tn&XkX=%y~IfBJ{iTdlB~n4R#Ij(1*|Rlyh+Vcr2k|utF=@Y)M)xAxxRN^*W?f~>dIe=lyLt?yEnY_gc}U614MJq?I-!2`8Ww0-c7zX|5wX^-Srtf* z8&0R^ID~HONRmHJm`g{JZ2mKWBS|K0(YtwK%uvh6f)Q6fDH{zvlBescm1hZS&#^c%p|xjweDQT>MFf67XidSR zd3r6=(HyB(SpB9n#(Jft*Dci~rdzA^ZE&P@xZDOYH%WeV9)U4X8MQ}sq)9%xe(YVP zRp@qFn#e}n(fp)#Zz1#?v3TnZI4h+hI#6(i%iG&hNgRn`u?m`H4uh5Q)ke#$fS$sf zb7^Byka{_puM;ZnSLKcsdqFi7thN2~^71l-SGQX-;Sl9gm0ckhe z%74*rwzdD_8lj2yH>ZYqXP*&`h%{__^?|3MKtl1NWPYt9VOpGmIr0M1NT*OFy3^C^ImE0zWDWZg70;H?!NBve3({^X)3kr(d%ON zXnuD2%#=SQ_+PWwx~3#Y1DH^k?p z*npTzJMc9`1Qjvj06|%dDB^hx$`mmIi$+@7(`=p<5$sjJ>csQb%4cNpY>O6&XGN)V zf*78luw18;*_?Qj;X$GFiMrCy5|+~YqRiumt;dAQHw4lx<@FJ_2)8+JPV)(eQm0IU z-eP1qwTq@fK54~m$Jv7Qk8^cv9ii-gPikA;xOj${(>y-SMgp1IkBCt+zs-m$b!GgJ zc9R69h*$fBjO9;fP0iLqBJ<>~I5x*=<48W_Q_c9Gr|5BG89i>CCZm9e^?d5g?+VuP zDON|zq;hs>wlrDWaB)NomrqOv!dONVgrXCE6l3Q&uBQ9Ixd|L-HJ+^Zw~rTUxq$ao z)8utD!JSdrR^Nxm7gM88K8}qX59|_zhttuTs!d@r^N*czEoSYm9}B@}8h;fcnEj^H z0dFjFFAv>8&sSfYd9IAwPSFWy3LgYMYNr4?g4(XGJ za7uXUD04{1+3*p~atr-RY2){#*JrbBrT3Mij7bPu2hGt*cR3%kv7W!>R-vW!)+im( zu6WW9UidP_bFOc_DIRsyQ@EsDSkxU%15HtBkx8uPT$iS~NeU(i+E{!pG0S`Z>&zMMQD`)9Xw>d1X{F0JH%1e!~&F-+M zo_6{sn94|VOhN8q3CRIy)(>=W*UxrmOVtJngyWpM-d*GXM6tPap5Udl^xuuqJI)^? zwU6{r|W%To_7c_GG!SPmpp3y1C+M z=4u1bC+ueFOleYRyc1Pnpj$Rv#zrxKDrL~WSZ?f#!GeKqGaqCc8$h4RpcNA6Ir!Z$ z(CvQ@K)qvin!#?C&fLv%^H`df&#klpLGX70HC?JFqD4Ay1BtP`gZ!oDBb`4TbJS% zK=W`xpntR6_$r!&fgU*d7PU@avYVx!?T|pPU#Nf{d_Yv+?kfrO`Kc1<4GeCK(nC{! ztVS6gH=o@s{k#v$jc@LyoPqwne%5-F0mRUT($A&YiE#|pL>cIjldNwkpar-fko2LA zPH-v%{o{di)FxOcgVwU#_;yzX)PKs(29)7(i`dQ5&l!UmjAKbLXApa~La0_wpiJvsUCttbN} zFS}X##S)eqC&H-4K$f+uuSXd`(#_H@wn?CORw*E>X?leMs$n-vt4@+Y@13ZCp1R+< zSpoTE(9II4{3!+W^yG6G``~eY8T5h#n)H(bdS=bG2T%skQg*YnN|G+dDfcR%LB@sb zy8^UK2CZbdaVofiy`Am8*VQJFII^@VC4v6gs(=Phx_LFqKdY1eR4ap^(9we`>hYIS$#bQR-6?03>TqEwo&6TejUSv#IRg#3_n+sX43AsRZkEoL z_{#XD7~=yobk8?N-J<-D4m1PLAO7qG70ojNn|>T z0Qwde1Y%#@VEhEy2BY-yJ#$rl3do?rEH_rB70}T4h95u~C_%V`5@QR4amGFLi&1)I z)y)mK1rWO+kVMfq6Fr)NhM(AeqXKHg1%dXm-1u1u=fj)mx z0gZh39hD2hG6*a}G``q`zm!TwefJNPusW?|SEX}bXSs2<`hj0P@tiIw!{h!VgXXc^ zIOl!J8Ku|micCcrK;KC>=dsLST#1~4UVmp+cl-co6)p_Mc|E24Kj@?k^u~W)P`Q9{ zAAtr*pzk6I$Z^8;YMs_dH|NQNBI~t`(wKgk7jO@cljYJpi5rY-W+;@L6Kmg6K;R$L zX}6TGJ%V49O5R#ImT?9st(R_od6tx~S2=U6;eJ&Xd@qCUmh$g^qnuG1*YC`SQ3gss zNH@QHRmy)+KgysvQoaF?V3b_pPllolpbgT^FXg<6$|TSPaZo?p0|-)t zioeWB`Oo;*jMBuuSKNv+fSRP6UtJ;P8+TF8K<`XAbQom-{Vd)5YJimgav$Xk^lqrO z3}paqlx}`iF6F;|NI3(&S9qg4$^Zf%{0ubd&O2*y3!qbQ>8qL$}-TD#$WfM43suYH|O_}@~w8t8EC5T$+IW}2uw!B^M^_K zwpRS2RPwJoo@5OSP_uM%KI%fZx5J{rK<~RQVr>UdRJu7|X1*AtF#}aJv~|ZlfVSX* z9(PE}J2FG?jdf`3upZijSp0qfERV`3ux zW}un5U96srNl((IXUzAEdAN%?PCN%{`gW90kj7fL}{UvAL@=@luG7(``E201Bfv@fn;9K{8Isa zdE|8VT>;t$w86OOL@95FOkkAe-`c8DN=P>su`g~g9)3mvRlns?xgaTn221&o6$)s< zir3i7;Bot9P=%D|@mTh_g@>nxv6A4eo-p%jfosZ89;yHfnIDU<;u%Yen~Ul~j$ zd@dPYc~)1RlD zQ3@RTob^e7Fjd3jK4-b<4D@IQYPj*Gw@?O9f!#EE*0bDn)~%E?P~)3DRi+bVkkqKA zv%gY6p>HI9BuXU=V=#JIZ#9_C#Tzk7;XjuuqNn5piINu_X)u`1dz1b$(0^_iKOIG& zBzH>hL=+fIf7wER8R)w=9$?e~lAdSu`Xtc#s?1#V%_-Ya22d%xY4oxJgXsdWF{8Bl zzdt>xfKWiiOFFaM1Q{lQ*8cV5#rOeACR`8*?L&3y_7r|mDp~jX;CYlYP$ygv=p~k$ zx`XE#X#Kyheimh*bP_HIRLOGF#eMOMQpxuR+gKSu5Xk_2$8ysp3-F6l$&bC3sCAMm zY+Q0c0$rwRtQ%f)T!1oAGP9e;8unTS)8*>7`)S!-XDOgE8T2sAO;^xAlpfb~@RZF8 z=oA?=RsvnAHo?a0HH2<@ zGf?wr@0%zC=uBJ?r4Lwc>a`NTD3wJ0BX&^EK&-(C)PMqm>4ux=F9U5!-_{33pma7a z2$W#C=|)gwpl#O~Z$ueDvS{|7CxLE)`o=&lqc*TIfX-z%jsE*3&@HMpWV`QuwVfmi zMn8KKgXz|4g;Ffle+B*_DE);|F#7TJsp7Y*oipC^0`^h>{htilD1mwpr<~Pk$H={m zLjXEo21$a$)W@%YcGh^=KLm(zpTW44RnlO(1A>-O`mJr)A0Pk_-iC^oKErZTUk83s zD*5BuUh7c?ki@perBhL0Ffo>8pj{(`l_&zJ8!m{_a+aI!ejL9jmF!;fgZjAc?51%k zD=?VuS*n2c?0^4K+ykYHB+xR6WlZ-$$}md%u6b~?0=ifR^<%l|{)v<`P-4VcDi>VB zZW@=3l0biJRX|Da4wVboa}CC2RTAjI9tvoG(#wiar_0!taoGamE^v@q5);7)AhI@&}x>Oo-yGU zrIP&K)SD;+2$Bt;|FYaP@GbnJR3cmv*oZQK{)!8Ov9>$QO@kmf7^pCG%5;oV;x?dzTNZt@QYGu>7t=sPz2DexFC>J zH`9xM!!Jsu#yvfz;ub)+;etRzSZ;a=+|NLrF5k^M2SCz?HP*2EGAm z9|D9A3Q8fCn_iiOUzAEuUO1rwWdQZT1%dXn+%yb119jg0xAiCk=ni(%xLgv8rV-$4 z1}eMUv|Rz+DT7$+8cZYiDxgzdT)#vCVTh-HyL=4GO`{*iFG{7SF8K5$l;Lsxa6zDI zmYZIkk6)BZPuukzD+1^)c4b`t3(HNfccq+xPQR?j1tomuT^P(Z9l7>xCU7}zuh6=9Ul`eRJ30=kdgG}ix10y%$E zK<8X~kIDu2%b*n!=xwFnm7e>8sSIVP6Ig>DP`{hyrm>Uoi&E)%^Vf7o89-7?8&{l# z0)uHhgg*oQ<@aeS7d*&r8dpe7VRC(>fX=_<=?dHfrH5qDt1LH7NK?)zUGV&6_>K(p zcXrdb0y2OeH&JcO3%}a+EQ&x0AC&&>3f8&?(>vec7p2m!zg549TL3+R3j*a>ZhCJ3 zeo-pze(`Ab(E*aWxAEI6BvARk70^XPdRL(gp#JQp@mo-!I!#iB*V2o>GR{L0K#$_W zVEne6<)$fC{GwEP$<8%u4}Of@G=7W65T&WD^p}AyyJ*r=C<3L&aY2-Ju-x>o8}W-$ z>E+KorgFg(?4~hrssws}kpk-RWmlC8o|Hj-Bv8c`1$4!ZUFzd3GH93t`T$MA9(Psu z>JcbIoupSc24+d14`(Q#tDhaJasghM{%v3_%S|7Z;TNUSYv$cpfih5H&n3_y6c|h& zs~`B<9fs~G0_bU67>vP-S#J8ogI|r?+QxFzXAj^PrP3R_ z{=O1rpfng424e#wZ7_XaiC>gTZyG#brDO+&KsU48^hFDPQ7XN8&huxX43wUw9H19i zZki2tW}sVIuc<{DK$4^~Hhe6B=B!pgw_SKd<$@vXrm3Lkx z<5Da)ed)(9N~OJLzxO1{0FvrrY`g#k2Ge}C#rwqW-hd*2Uc`mL*eG>(6YG$S(w!Hc ziuy4S>HyGNEH^Fq4ZkRr_8rvH9c7>d)&OWB%T0@J!7oas{i^1xOgEI>G&XLQK#Nt* zyleZAdr<~TuSlTKi4y2*wFlpG!DanW29VSNj3GwaVDdgo%0<<2#_C? z%uo;D^dfnYkbe}$uk^0al;GGX;YdY8WHPi1FrhdZkI(dwN@KI}-4w!%s=CXLR$4*ut4{I92Bq_|e=+^eCC9GrEc&4e6ySay{GoJ0*>hLRa0c+qRq2}ohdZF|QmVb4#lNN~^EfH+`R*d61( zS16obrJmmd=UIgZBq^nEExBru2Xu%zN3L4`y0GC*eLJSUsL6Zlms~}zvhdqZCAFAP zor$FKy+2W_sd}){H}Ba6Y<0yP!FKI@d(w7KZs4_Zqj3>FMe6>oKw5L&$LE2Fpk%IO z6m`u=+oIruOJ`q&>FlfTvtlatU6|T6HE8lq|G4WoY=K>%F_ueoHLqvP8yg z@AY-qQ?vS3r#+G`?3^1eyk$7LGfmL}Y13c3E?Nd+7%J@iDIQGE1v~enOG;)4Gu2rR zuAV8cym|F$Y+ikebC#R@wz7FO>-ry?S7(Br>MX~2#GjKKU}sIG&8t&6U#r#zTi#n} znKC^c%V6_r-s9n&<)ExlGD}*4uFc3XoH?9r>XH{OG`juQ3gLn0WL@?+eFE}{cDZbC zH~$hWB-)!_6X@KL?Y-IpsSvlBOyQZ&SdELk&I$o#@9GBHySjlFc7+#ZFW{_O+q;TJ z#ehV~{Q0p+Ci3#$)zR3yI$G}%y>-bZ`f{{)^<;<0_OALu|6}j!7mTvf4#eQJg%Yen zdsi2)952*-Cs-oQHUG&K(sVAEJ(68TY^9xEoJt*g?<&(9cnL&~ccQX)wJ+^m?aOVw z8j#v5`^Y&W$KJa-TWtcOt8B^$1|?tqjZ0|nsxL-yM>bQ~tmoJlBQN&&EJL@XWS)z%?rA!2;WW=zMz1ZJV%F= zthE215MFK9pR!xnJWi5=+pMA{tL;P*x*a9+m&YQROi3Qn8=n)Jhi5Fo=nJV)TAZD? z$HcOph|TMPgRncIxpiYSRAlv6j4sD0z5@9pj;E38BZ{;0kxo{>T=}gMXUD3^YWN2$ zEj}OAWXbV2x};>TMj2~y`INzYKgh82?%ynQy({az*&U8{y~BwJT~avIja zM2eDG((jbkAgM$mcLEdPLw5?osHC-~_4{zzF(MXoaRW^-7*ah-;n#g1(W7KuM0pV- zkotmS#4n&I%>}_)QP5R#`Gy^ZaQws3tyx4457J34>V_V;_A8CN7&PTZhN*&*`D>IZ zjU1pecSUIjr+H~ku-6{edEy_b+An$H4{+PE{6?twb#yF2%l2Li3ZC|=ljAN+SlsDOxkoV-9TB^(M5Sk+X=rqHQf@#Gk)fLwo_9m!mzd@ z!CX+gS(@RC_z^qBhT#qtE z>Lh3zAq5;`8wl1fP8<+6EE=sJJhrcnU+|O{ z^&TYerrJ?52Rqi1=Fajq_Vp(%6E<+?Ayyw!rgK)O?(nGjL{ZTZ8ah%mp6ru0Ts*e; ze4*wtYdq-d>PxHhIeT3Xt20@1ZZg3_@;KeDV2)e#yQU9J}-nKDTsdHPXEo_@OT zvZu0(JfCyM-KzL?>zXpuv6d9*0$MuFzYhlmaZNlP6lv<#e6-$$&3fAQsZc;vzm9%H z41=UBe3jYqN|Y(WH$cKSEbZ6Zc;Gc*^8sCrUv7Uo7*4nO_PQ)kI6OhGCV0^SUMD(~ z%>MyF8Zjg$Agu=TTAy^5V!s)ig?qnn)K%ONNDa7era;@DxM=CUS;V~d>Ayy7>E=5T z@HIA|x7{i%Z@4(%^9mI|_ZKW9%X{L0UT?gYBkNLy#~D-u7~CkCzf)^K)sO}*3N@9I zbmgU64hnVKVic~oc55=F-}l;oOx!iPKAQKkeXmwQ+xH3uQxW{D7;%on^YXse6>kcS zV_fb`sL_%3$HQ+rBE|b&Q^(%-x>~KUs-uxY6_)qCj>o>&@p{33q}3|#dv#gd|6||l znvOInCa1SArqm@97t+4hMG+hAdtI1J==Z&@8W?kg%NJ5aI=1gMn$`Bb>ihXxxfRgB z^1fG(wC{CkH;TP>WkgKXUF`8kYR`{~Mf+Yux`5+ZYFK6TTc=i7{c1EB@XGsMhhX39 z5UvrLe(>iK!@QpsoH4fVHJKZ(Zm0#hb9HQx`~W_-|P2Wb1-Ei;g|Ql-iCdzw{c3$tS^oB%LBy|(0 z5j#jSRSrLv%CXtpV|$+#($72X!Tp0$qt)5mx?7rKncRL09VkY#xokvK!&K-c_0Np1 zBB|N;3L;AHc-jcvf4s1yzh3TDohQ%ctaeROLtR%mcVjU%Ix&kBSc(90{cXa{_hh1; zm)`bUZ@S5;ZOToxf0++ww!7UTf}|z`2~}AJ;rodoCG#dmm=mScpaE&_cK8&*dh|5i zw5WZ`jiJPFH^r5}p4?n8MZs}yk(4z9qTnhL|Ci$GLRlkw8SM$&`lL`!;ahy=6u!k$ z9*Hygr4IVZuy!N^I+&ur|Eg9~?YXqy6(7cYBcg6DYLc?<1C)!i}cli_1p{#0uf~up;1tX}U%&KqG zB_(q+$`n>bVA~`GH>8jD);7USAuf{QBEQ?((4dQKyJ3r%vV72%wGNyV%ZI(v$+*=+ zo`~DWRo=e{u^+cI$D)xjwVg2MYVdBOIoDcybf3>#Kg~Map0#NkV!@3H|3py{#^tK` zCs7@c#Q($!691DcILWspUgJx-#mr?6tCfXj6Se4i2pX;M&lW~lX+y^5VjgTx)~zG7 zvGSqpt zduoqt-HOER>WB@JG+MI}ds|16WNG$7FQ>yYcP$f|_r~nmahrDshpT}qjxMAS=(e`z zKk|hFwt!M7RqcSU5+bgQ*jTJ%Y7d(U5+_j~O3_SkP|2#p9}40}H2({U)5E!z!iN#D zXbWu4msT|?SR|D;*sfMs{bXcS@r0OGzxQ1_TQ~Kd{*yhud!TaUutm_~dtvLf(gv}P zBq@4=Uz+sK`{sbKpf=*NwJfYniWAgEX!vxkHJfRx{>Gd3wUUX??Xsx+k2X;Fzg21i zW~x@+A&xSQ|B2-gmWK|Oy!KU!qGOLXR$ZA+=A3h{u-nlG6uT$Q1IaMP&jXdAb_Yl@ zPF7iij^PMOk;68=LI->_zoKuJt<6nsIp2i*!V|2{k?~%2>7<86Z`flN$Gf%j2{6>2 z55m-Icd|$5^si1Q>(356pP-77`!@zH5}!FAGpoJ&`jdjw8L!`yO!%AMe8YK*7KCR? zDEZ8szgry4LgVv%NHVne03Y-3AT4Xsif!o+l(F_Cl{Z1LZj(9ICC;BZlY;Qf)Y+Dv zuIM92d5A_F8q@0MX1hR)wWP{mH0ud4wtZ~<8A8*!R#&j;Y@csH)0tVEv8-wTbV!P7 zWYg# z3YN8JM(S3dp2x;;IR?-2U6x4wn$vPVH_i{X#C69{VRTW(zyyf0=A}Q<6oK}Ihet7i zGb?{ksN#-Mt{f#F#}SL@0wFY6~ zLgm$oWJ~$W4l4`HL0iyOaf=9J%6w`+qpW;365&bzIuw>xVQ2CubarnTH?cWZ}u zU_Pb1TbufBDlbytn4q-$ve-+@FFr5VtJjS7r&GiI)4pSLq!3m-hjS@hZyaVZS%wro^0j$p)8bdf(fg7hgU+P_yaS{?XvgwXyc$3gx9 zZ3S<xCzAc3LZg3$Hq-2J3OOddrQ7x>U9S2EsH$fPbL52zu@R3Mph*KJuLY+s=l z0o``!&x^X%6S6`_1FY_8inE!Mv;@^WXnddI*QYC^^<~yg4Hdsd6EMxJt9^F zGgeO_O#8KS6+uyHz8omZ&8ILx9%V}NAryST!`Nx!6?DGc6&7oFQV&{rr)M$`ih-*$ z5l6cGa+=}eRB=m8XUo%1W{!d?eS4`r*bI?un1Qj>0Vr~hd6`ga);CDi7&%}!^$P8b zGBoeQM23=C=tz*9J;Kx&LrNa#LFZxhvV|)xK5ujXOI+DkS#>kQ+|*TGuiLTaBRfo) zAu*)|&V=rwXj}zGTlsXXQw2P-;r1Rf+}@*`)4W{%aZSJzU;RyMjLdCx9#&o*)Ny!~ zqTGtds%{vEQo>7Tolv#OO+*Cv>bP8F%t-_L)|`PChwY+@k8Q znGv@(3ejdDgPl>#rW#8MVx-{&YbJ{NXd&8CDebs-8~`Otg0d3zZPWpg z-bR$diLP|hgl(;x6K73ZYjWa*{Oq!*5JiYKZ|Z7I>|(Hgj3`nnEA2>`q6Q+eNpw;SLW=rwJ~gB$G&WhTm(ln{|0%7( zzHJ~UEk?}y8-)~I_y8xzCLgfNBVt61ts_m?L;rOiO$6nEt<2PsAf0G%0Nk?prSAma z%iJp1fHjXKL!s7b6LYRioLVB7Nc2?&yN}4q5~Qq?Tun$7d4^PW63P?_0tGuftP7qq z^`MZcIA{-7q?6%swu&|Z6It1WwVDra) zKxkC@=7Xd=YC5nl9F8@mt)gX; zdML-aL_!`(%1-I{!lbPRB^&OJ1le%^Na$qTo=WMMyGb#J!={PjI-)2K@MWiVq)0wh z(kYaWO?^?Q7@c(lD~2X?5#N^F9uxc@JeLy@o;zyS+$D*(6!TKqX>tqF&>nM6De7amiRSJ`0C!IaAYWvM zx#a}%y)#=Psn$|hR;B6LH=!?MVq-+`gxIL=6g82JL0zfmSsm+1rV#nC#CaUG-%RM4suuA`-YHqINTKT@6BAEjQ+~W zP9HwtaK}>n-w1ohQT*6Q#HB^5M=L0T95a9pntwoK>dC7^$wW2M-nb{4NJig$#g^62 z-N*_T7JZr(4j)o!gsyURRoRBsPsw>=#fY^+Xaw&(@l*dinXYHPE7}}5gD{ZLjz&hO z>`&Avm38B&QX`OB>|-l2>!zM6RGjIE#Ftle@de`3$}CnWQj%h_wKf$Ddh08{&SWz< zWH;ptsTMUj)Z9d5DV23+nv2v+?Oo0R?KX1*`lg40>w;L zu*)t|iQwJYY=G9D6F9;TwcV&R}rUeJ#pE5EOH4YCqME)3NQ`jH3OpVi_URo9adbwot^^wH!feY+9Mik!U6Nro&f_ z5DHguDzpEEwxqAMt>*;09VZKV#h~U!gf5~mTTk`%RnY)bBY0v^$-K_XgaxNqBC&A` z%wA8jeZk3e2pxK!79KX7&>dt6X6r`;DV1Hv2f^@dxwu~6%J>JM5 z1EWmYjUb0-Xxe%xfrU)67p8E-ySHs0YtFl^o$tD3nmgOFhf>21$8nQ)GM}lyzq&FAQxY8+}ZOZLAWA>joqm$Vwbwv#q`9%|iN!TTG?@n}9OTVxNy+H%M^S>`w;6tvIO4 znNfFtKqx#-q$ri4%NA9WMmPD$!^Lkd6_z;kY*lqNv!Is8Tv^xI6q~MlVa+FV19`U? z8u6>mnr?sGneu3JMR0|pMfX!5q?5oQk7Ny5{0><|eD83gea$m|zh_i%+B9dDSwlPl z-Erj5qG<^UsSKa6s0+h(!@An0>8pfFY<;U-8x#jrhTVehIKDMoePY;E?-qp&^KbTt zRR3w+GEy17sMhW@B9Uh53o!1OzTQpl&FeXVHn~?uSw<2yme#p9mw~m52Py3F%IU4qIRI8nV6N83`74uC^Xe(T(*Ht z-z4*%`k$BCVoX_4_cH}kUY%J|uTX&jS%+cWgBXt%x6@&^jyAQ=oejbVeYgf_ZggdEGWMaBfRauEA10ocI_KSJ}+1eN~AUW z2D-H(&mhu^Sefh_;+;&znKf~#Q1y;WjOVK+<_qL_JkeowLt1EKycp2@XwXHJ5f1c= zM>w>(I>NDIvBP9e*iSmjvj>C%uk)lHjLD}5Tb)jRgnN>xP%1+w(Kv!ym_&O@S{hh6 za=fs1bR-*VUNg!UK<{q70aNWcwWD3`2ybuk-0nlE3_CK!4t`2^^a zXqQysYI-i@q>Or=CNL290sd(#>pw`=drbXq+E8;z|rg@rwVt1@lQ@JeTB zZv2uw8=Ak=OQNmKi8Kvw{^|>((a|yTS4wO8MViqvQoSXrMz;UID~ZwHC?vpI+9h(`sz?s#a#KjzTukB3GeWDfnkjHYVff4(M& zh|HmX;Aj(ih_2oUjm)8cx|O3{#DfUu z%%S7OX#JN*TxSlw<9IbefM*W9^LS`Pdgjn13z-~LIadF55b~Kr|IX1aYH!4T=Fop| zw2ROP0?na!ap5Eq4o7fSZEHt=XkUcADTn&Z09WrKGy+a@=z|=(sNRS?&7uE$ym})9HHZH5cxc3;=Fo?@niSO=L8&=( zhNDer{ntTsY7Whu+o%Plep&RE2vg0WnZuge-bHA{tLD(mV@*XD)f<7UIrNd@dh2Bs zB3N^1=4tl7Xd{$0hh{!#D!QoNh-uBCk8(93w7vxq+?qoR$NM^na?PO&9POg7gK*az zTIA40^+w!lJ-P&7=$gm;mI#2&p-(s-ZA8N6&?j=Vi|UP#*c_TwMQ!h*FOS&R9J-XF zUG$a+lFgw>)BfKYHKJv6Xw&g%BWyN@?!=*s>W%o>9Qvf=p%F-%L!T_8k2w=SL~Rb; zxfreIL4?-k&}I%@RBy!C=Fnxwt2csebLdlwY3pl(sM{R+RE~Dhw?z1D4t?73(1^p$ zp}TPCqPIjqZVr8VFlD#Oh;9yjCP%yIEfK4mL!ZUbE^jXcx6NB87A4i;ss!$Z!sQ$?H9+2;{xoI_v9(JtaxL>%YPS8=q9 zz79fVzK)|^^mP!Y zoI_thi2=pDw_W~EG9aK?!(oj2#w(A9GWe+676GI7D^E%okNqUogW)??Olp+=^UD^ zk*c(d-V$-sIdngcc2T_%K%GNlc|z0H39WB$L{jI_cXQ~XnjoY)hrWlaNf8>c)j9ON z#b|v^5M-T0-^bOYsNRUS&Y|xwM(f)fVb?kI-#Bzpy%B$%LqBjlGy<`6=m%x=v7~(| zBC>PnhdA0r-x8tOIrQI;M;kHPIrPIEy67ztterzY!qub*ji~J$`X3zaqIzFI;oCWM z|Kp(%$DKnz%GIRk%OjvWhklGh7u6e)-8uB*#b`YbBE&m~e&TrbMyz)Z{p9h`2>Q;U zEgZV2-iZFrp$8P#ThHGJ1J9wY#kBQriFoiF`Y8@w^eqt>oWwJ!9PPmz?V>h8IC&0j=g>vRm(|q3b#H za1LEmZ^W?Y&?C5-5L#ao1hePRBRSedH9=H+4n2yaU4%w>dk#JNc(f7coTi$w5h`FC|)MP&kCLH7MqrLEOG}LyurahXsGy)VuIigg?h8$L1`lE!<3n%i- z=WWGQ3Pl>4s&|Zd`zKo)1#nEyoF2`@5P?h|cpOfw7}qJ4vC)YqO#Ar|@%aMU$T?n! zR=gw7?y3m^acs6?tP4Kq(oW7@wZEiu-gxEf&0?$$!Pt_z*u-dQ#pQ*KXtFzUKYA*q zGB$2?XU@a4b)TZL`}5Kk)ma-(6@qg%=%cdln*47$mccWE-K9171N02&rIgCp$fh

xXBv|$3G}7#6)cW4SLg~)Lm+b)vPcH8v=QTHgvuIt6i_SZl?j*A)kl4zzWwSusEVS})hs=h` zW?_dr^FQa#+?hLBKA*q;&j|nh)iZbQJ@>rN_b8!=Rk$?|h@vM6Pbt;x*5N_n7Ic)- zVx=?tPa&nZ>9Gr5n2;#HHWjc-m7tnR741?^tbJk^kZOLqMEDoGfLWt@e8;+nNsHi1 zi7k&6lzp^H3ujI=e&O@VE%yV^2b5+DNse`~l#?x!8VSy2(ylwK&E5>P*_-+Lvg+Yx zZMHAvwSc?)Kn>kFcuJ`eVNrvNaN1e4>ULP4Yf0amqo=t?o_3Yja|dgw92?vjjw{iSVso2-klQokX5$^AN|GN!hU7cy|xH z0!0oyrPMTW9@=Sua$xj|RlH7I!8b^*qtaWjOSCutBH?KazA6{8fLECC`2Ejflj-4K z1TyLb@j&Un{Vof@a4NiM2)qfNQfk(~z8lTKz-#~w8#4MFkA1a)XkR<~9F^&;=_Hx3 zZt}B058YdMN~z&v(SvgY*e=be$NlC;XehtQ?Md`(yGhfZqw*Mr3;ccQfze4<)3zI< zvVzECNp5z-1fYygIXtD*NGdu@4e#2hlD$_D*TLU<6Y9Y$Ly=j&16SlzH#>S?W8us% z?6wU&PWXDd1Js=2Iq!_Kl!DRZxOY`6Ik3thf@gJhnLCj5BXz6ZplAOPZUm|`Sc(+4{N7(Y|< z%*hwfB$PEe7>)&q{&rp$^}l4}!%xh}CDX`kHj=s|$yPNlN(jc!)QU5E7}$%btw#Bo zLXcs9mjO}p%>ux-Uf^iNYJV3Kc^L@RU-M&dD25k!p<% z-+WBA*5tgpwDl7}b=dl`7uRkgFMPJSUW!cp{vSGETmnRs6bV~*okJxnr6!=0KcED& zKeb+NSW8m3h%R~3>(a8tR9To6QuJ7lL#MPce%k{amUA~T6!uFopmge3whNM=Xz4uUfE*lLb zb9YCNkgDAic)?neOB*2H#OLCgbI`_Mg4ze$@2Gx-=If}@^R%i1FiJZ(dSh!wn}xtG zx7R8JM!Sn$@Hcu>1FH*7#4#m9#*xImulwqQy9*iN-WLMo6{=AX)aGqDGTFOjs6g?_ zLYaY<(ZaVdPotC_HMB77ByvqR60bPFn*@K#m;DB(w}c`S03^g>JEbE&ksjqd_!m>Lv4T;)z5K7lRr^<7%cQP}71E2o>`Vl(d|MM`%L+iwV5jD%M7bjK z(*ftmz?E2k_e`?!Ztm0$*1m6^S}JX~eBm8u>UCCcYVgrnFe*SM^_@bh&lOV2hi9te zE%e*EG3~k@T6BsS2W+koO2paf581*OP+HMDc!Izhs(c#3?#!nYi?T6TR*z9oC3mqhn}s;D9;!7-aJ z6f(>DY`q|@WJPjf-@m6 z)@;xBW>Zc&khFB*KKKZxss2(-68g*(Y>_Dqbf~TyG|#9A5mDt znr+Gr0J@Bq-$J^k;lX$>h`%vjMu$WVQ)tI?7B`c0O)TwctckV6B6vyrHip+U{WqhH|%9GeLZR+1 z3dgYkFzc?Lkj|g_6KSdQ{AgrP=TH2EHuhPvzg`JPtfAxd$n)p92p;Bo?Ls-!7&J{ZG+ryK!F^Oo992bqD+%)m~YX zE&J$y)wiT`zQ*b)QC$uI1ccxvHWoE1K#WGiQ~D02?zlUm0mppk8EESJb1E6hjuK_z zu#k6g-a7*MND@U$oi{rEl!r97uLvC1ZGd^~{9&B&Zt)kupeqTHq)&_~S@(1~(VL&< zn}3FH_M&KlZq#(jUN%Mu7*|&zNt3IGz+d4hodXBqYbA#sX`N z7Q+D>XDLd`j_r4n_InZ@F?p9}LzGLe`f}+|VsKaoA)N~3e3p>r-Ie!|u4!UmY7?H) zzv6FHYJho%vl7iAgqJLThm5R!heKbOR^4bK%;vm#C&DaS_yjI3q@W}rPV1c<>?x{wlOiv{Z(0Iy8I z42k$BZ3xtNzLf>QjJUrSV1{U8TOd6&+~6r)MCtWXftqN9+ZPDwcV=r={40>3rtiyUqyQaLk+4qy2P`pJ z_2J1Dp-Tp`jJkMFH(9#JVX6+VjG2&QiFC?_P6_}`7B^U2OcS7!Vg}~v@^=B4dZhzP zd|FF78c`<4=b!I$C9$`(pxf?-284$KL3m0(IvL?Gj`bK*^7KVhi2D+6W8;8`GTeP} z+G``VYFoF3vf9gS6Nv{$2#Fy%Yu!o=BrtLEF_JggeF*#&p3+a?PmA4SfcF;38Z$f= zJa#!z7d^&n!+ow~~#^qr4%zvpHZ2 z#e~a>0id7G)a3v5riX3LXCQ~S%^gCjhVaBTzgaKGo2t%p5dpQ0sM~yv*XC{@1y5-$ zCE1HPM8&WjRxj=*4G!GxnidnvEa%~&%#uKn1u9mYl%i~0#8Ge&pYz2V08=r$91^|?1GyN@J4mW_UcBQ!imQH|k!yLu!;K^;DNrF2#?`3Ro zcNDtPx89Y8@0UgHUias=^s|$#Rx^i!tU5s&Pto&Yy{^SwQR1eMD^}ZWSk|qvRS0>? zM8zs3X|gf9E1%X<*NCOWyF*bEb45_KcQXici2$PcRpa<>*BN#%0 zl!rOBUeJvY+jQCIxQp z_N0@;t6Gm2w4RzMIehR%l=0L~=)C3IKc1cA?6bKZ{NA3{pN6}%zkr*Icm7AmI3``ZQ}ejM|E zBaV-wHcD2x76j{=^a@d`#t5M5$#J+82&qp0psWB0X zP}66__A=6bt~-&E+RMX*Jv+kz~I8@+n1z0ye7baRxE3AJT91V{2C-_ay&D${*J%#94}`;ik<+_ z;}2GowI4WC!>0cxlvpI+@K=~l1vm>jm9k7nJ0Pot0j5W}-JhF0>rffk2blJB=r$886Tj)Z*y?#k+Jm@9!ON9^R!!1Nxk| zeQ7!>FdVVL63{|?76`&qy7pv*b=YE<5OdyKa^XCo5VXXbhGIeQ)z zav;-;Us7s#bk+q2OeZsf-94D(A57?C{_b#mcy5r_IVw;J+xG+m?u@BpNYb?UnA8>V zH_Fr$UJjf+k=i@kZvqUSoA?zg1u>>~Mc>ZBM1xdUCZwGlgQpn@!jcX72+9~UB@|>1 zE+c@<4ZhqL_6;hGxZ@Ikz~Pi?8O0vQfD-_&q?G=@|LO}zX}q*A&Hpn=)`*Qin;XCns%sCcq{k{IC!?#MYSqOjbciG&}Lt03bs^jwjyN8;d0Ka@c zVD~)0Gfm9}CM1;GpSKah@SK@(5iceP12@o%hhbJ15#g3gT;Uf7#75qHF$EJh{K7AL z8sl>Ncb^5)2qzeo!y6nN&Wwxo<`x*n1#l!3Kp5HQ4c!_!;n1gZZfH{V&X(@c9t5t} zl#m5xy#ZD`1t`H&`c?62A?d2p5Yn)UwInE}Tw?IcSax`@iKJ|IJ_uO&Qh_>j^QRAn zL7Sr+zxp8~H${GtBd%=Kwm>F2e!}4#=)tKguU-MOF}9Hj>159G)6v*v5wfqXHCQ(T z;vSmesI1=$18n~Ts(a+deWC_M4rYWbx^zb(pYCW&$8-@RWUjPe5&s2uM>ZgF^Nz5q zxDkJ2cccI~RazYG$f%NCm9LV{%7D<)IXfH8_&S50gc}5toks1CJB&C;$W(~7erQ*>S!vJRI4L$NP3QH z63i)9-`A1y$Ezdtag~lAOe9Y_ez3HSQfIe`vQkEb+cc`Ad+-zxMmb%{-<^pHM+YVI z6v)`NHR2h&_5#gnmLU1YS}=ExiY&q2g0b-;Kv!>l(Xj{Zk3`kN`0%m{7l{LGYA9CV z!m^kk83q*LDgDREC>Jo5^Gk?g<%z5HgibnIk7wc&CRE3W*U~00e0H>6jZ8f}PIntA zG&$_BIGEJ@r@0%J)ef;eumB;Vb@fyehv&y^`|o{epWnd{VnMQ}ij0I6KLv!~DgD-3 zv1P&lI%Oe7`C;{=5JXt}7{3?OHX&~LU=fR05Tbj5BD-bVC`FFLAYY~$uHb@ZQr*mp z)t!U8!0~=>Ych}o=SnH`GJn`HZ7*IV9F$@t%GM3>+_^}KdX|jS15hT?n2kivu_ON&oM-mK zzswD=mJYyNf$SjQHPIG~HUCj}s(U@^U>)s*F@9=xMeHLbYW zTEoK6v(ft6 zX-}rf3CPyWP1tQM*OES`omD6p!`rhJ+qy~RHizHW(=*OY?dj>1 zEg(=!GY54~F-;syV1!}z9tKR(E=M%+vz_sRI$l0-o;R)2SQj@?oOe(=%pEY85Uh5@ z_(Y^j2y|_QTe6+xlI>O73bOq2ye;_vkGJ>Tjp0~0YeoKW2N-LAXRVgwLos83>ULK` z^<__`L;fE4&eX>_0kA&9W(f+CWcoN&OtSA;Vm3ha@#+}NL~c$I_s9l_y|t) zHSe0-^rb2m*20KISKe($vU$(0)7%D*&t;ij?hQ~**D}!W&r2=0K^0y6kKPp4E;7(P zC=Agw+49n#<5rxuR&22WOga*Est|U)3`R-k%lszn?tI*I7IU8Dg{M9ZZdccf%VON% z0$lMWKoy?Sz2=H7>ERqBcsBe`+4b3HEyOwv*t!rEH9J<%BaI)r{bJ(+IkFF=8695K z(HL~+AeOJv00;5U~vtEZfZCYd$9UWQ^fjQb}3#8mU$mg zfv5Dp#mfX^n4-}^3}z9;U_MFuJA#Wdv02GrwVy*wgYYGkAzC*a*@h|B@69Dz2Mb4# zF+wG~J|o|K&I{QdfI}$yC~DBDs($=T7&cWVw5+%| zlyM1Xc@s`m9gTAq7T)grffhWa2h3F(he>Z?NHbJH#RVkzbHSTjTX|8TlAcdEt54i0 z3+|TS`LZ0v6r3yNwXAOp>@#(6uz0DEatj#>d-bUhyDj0rzveYIhC)c&3LC>K%)2^N zOz^a`v@BH!51h7>JOWiL)#pY+$)SDocIZ~QGpx??Zu-)P6P6@hyWSDHW%kq$NZWK3 zroms~Db3(-{ZK#Bd?83tV^=P(7(_h5L0rCYcW{2VAogqw=aYai4%mcuc7y8C4*zXv z!qXJol|C-UTa*(3@H)Bf4k4sP{IdrN31SYu2wp!PTqVfvs490rurN!c%eW9Y9mAe7 zaryO(LeD6?xOEL#+Uls*m{l1yFLOdq+Y)UT&}7&0a4}5)FAf_ClI4E}A-U3#>3^o> z+xkLgx?HJ2X$fkzh^$)Dw*?48mWyV(x{3*dR5!w459~Nf9_jEk)_ktTl80ho_JJH=uhH znCZ&scI<=CbRD5&9mfb3Y!rcOA=BkuLt5VO2l6vorm9+`r+JFk=j9SR=^MMWf}JGc zGhP2PmupBFx?Dvvs>mzquZK)my(662bHA18%EKU9V<^?Be5UJ1@t>2HZ&GK0B5`O#T~f@=)qIkL+Nq{2yQ-%bl=%e zyXBF@{e5nIFp%OLZ>&LP5<6eKBCxaz=4`TUpf)#dV)bKkW_b1e2^iJDc~{Y>a;|gZn2B@T{6Oo-@$Q1f zfHUT9A+>+x1#5!TXT+vORX!aHycNE+9$yoyGwdWyU_4}Hnu4oI$kGvZm zapi`*vtTF^kDhaCpTqsM`L8|f%eAcZrasR|O`9%;sW4qT@AN|OZbr&YJT~K@Uu*g- zfWV6XIQ9nSCPj?BId%!ruXLqF{j#Vs{KUnOK;>K(7`Y?KT#YmaVj0y_DOJbzMClY( zh#kH4HQD->L*PzrA1Q}p>810vl>g<<8yiDhDha8YMtfOFF;z1?zu}vg$ky>*PhQ$O zPLb2wUP|Cf&3w#fyXv*<)bCY2pQe@9QpPf{asSODEjx`;cgQZ7mSpVz4Nnm7EiQlf z#jrTy4^IaCDTmG8mCbz5S(g;MAi6ivUZ#U zIDFGq63NEaHjK+Ba+s)!hPe_0lu2x7&OoBPg2QoZ5CDW}@n=jS?LQHS(tdU*BqU2x z=yjSIyp|*NingaV;!6ADn5c?H>@+y;jOl@vok^)Xb_Psy-7tLh#ka2}OYd+L=1i@e zU>4@&65JR{OoW@}O4(T_qnRxjAnJ=BhnU*ZVZ1x@O!M7YF+53}fFix*%d;O+gimVfGA_tchuYI4lq@()igZAXI9eKHkNS*f)?xOJ=^ zOjC`(>LCRJtA`a%AswvgPtEArsf1Us<#GlnnsT|!kQ|OH422&!Z4cz~H?zss_dH&4 z^W03>a>zzXcjxu+mNzvG9I~l8Nm?OEWGcqJ<+xI(TrN8oe`DN>1JD#K)E!fD&hk;j zQ_IhiI$phmmE5^{$z`_i^N^UuwXm5GhM}w8ao!8$g2~DHD|V=!i=WHo@u19-yZa<@ z#EBydCr^Qq|s%tK2R z;)Us!LxFrX1c<>?c0MI)s1P~<8m<^NBaSM0sV~f^IyX_@{u532PCUiqb_B!3Y}S$u zNRNrgpHg~`Q-jZsnVp{tpyX}=x5%}dKbH!fRev0v5HP_9O@(b%QVB(*8Kx||z_Cio zpNejDNv!^ACTaMJW390@J4_#%q&!xa1O@)gr5tQuR$f(mp4p#WJ9pn)_)rI_T`K>LUWqT%WVc?a@tVY4+Ml z9-FQI$!*X@y(~7^xPCo<<2)F1)6Gg>W(Dw3m!X1r(BQuIm-Y;jtC}l9Fe)kM2Umz`kES4Ftzv4``yBv#UY+1xh z=F9O7reyv-{1u+Ee)t=c%mpm@3jN~L^Xfn^oibAp+WNHg8JSDx>~Qd}V8u)){R;nE z*abtc)dFo4#Tm>Tk10{-&H=w|&S=i&K3*3$%Hj981iOLqM<@%RI)hR({sk6LnL!=w z9(W@Y(Z8nu=U#7&X@ged8!J9tO@e>pP3YiPO|kIw8C%cy(BeAXzS~ID^mxjCLy0mb z`5cwA90e-ML8ppX7KVI@nEP@77qlj2yLM6TFPR!dj)pB4DqX!wy$P ziK{Nk$!ICHPEz+Qz274wumn;Hb5%vWjJ~q>GGQo zq=_<`>5<>|rUsrP=6=VNEFb(7S%JCVm3M2itei@HH_Y`etQaI`AlB!1=R8)d57)@H z@+#}gx$7Lw+)2-8@tH6><}Chvs&c0Dm~!Q9uQ>z+nK5xS5;R$M2>cbEvfsg<7R%z~ zjjU0_-u*pE<c*&RVX;)gY?l zA~n6l3K;EODLVYKSv^mg-NJ&`|DKg`<`PO}*B8@-+^b{+8}@#(h8+0B5w!bkeN#-D zrFX()Z#PXS>Gr5uR4Z%;`ct~h-i9j;HR@SteE&U!ll&7&)vQ!N*Q#K$Hzbt&X~&F4 zNGKJ!$v?OQOq<+bt=2j)s6Wy&^uW5^0MyVknm@2<2fkqmC9mgVD=LH}nFn@bF-e?Y zX$Z8{<5rW#@nH0*4Un3%ZGgHZf0*irgwi-wrwOHG%5O(7cn=I*5BP^kHbeb|sgK^a zdLe22IOWZ+ZTwJ-bTob7)%i({m{5v}R>Jtt+$IdLR&22WWHUlhs{eM~3u(~KdmWq5 zIfxkn?vx!&z|&%y?+?W!!D!1G?Qs>itRcbM1b=$Q>|13$_8irE;cVy(tOy*`ek#0c zbaTh&1*Io=vs>`k1Aeoj{v<8C^DwKl~QD<1xyRMRhf$9 zz5b`j!8s%y*d4nRTp=Yx}WhP zx%z}zg6($YF11(|Me-v+2%fUrPD*g_dAOBVKTW*Xxszd`N%UOzjK>Qujal5LUyunW zzkeYgKtCiJ4WG2ouxy~Y1$at*`T)aX2P`!wHgqo|M?d9PI_GC`QR|S_dvcg4(b~ZVX;ATMAxCM}v>ZE10wmC)=e4YB=5b%jnJCMItU3Us$av{?bB)OUv|<7#I2+v( zZHr4uO)0;|onyPgk*4>0l5Sel5|iv)J!*nyfD}As7{2UfNI^zGH)~pEK}}15r&@ah z_3eYHd?J7GsZWFU*`j=y)hC7bcm9t+r^gnLQm`wNL6ur62mQTXst%H{8HHZn)s}D-sLn)#v(rSm#?G4cWQ&E+G6kkb|dea4})%EejiN`Svc-o+o~>y<3%& z?LXvw$QB0dPRq`gOm_OnS}#eaadu!>%~&QC?hrF9hEV$KZUAFI8JuDysTMyBT|7%4 zcH}lHUvrtY45@(MvVU-PATunGFf%Neb%|HoGAyv2SVAzH6VL)M31U@~9B*iTJSkt7Ei)u zb#L=F32sFZ$xOs37pM>*?@=l6(tUT`#`>5zOYc%}BqG=(k*tmDgNlyrHwwAl`wdBF zd%r1ej7&Y$b5_kGp89t^?xy;8Tcp^8blp42KniV0t9@IFMlP|H3BM^ACF;fEpiH6| zwg;!A;wCUtZgSMUXv(*ync{W(EMB<=*6spA@RXs!){7(y`1Z5r0?vR5c#Ar2y|vJ!HLxQ&LeyF^==tMNqqU z(MZmn+FkXTX+8tujkRzbAB}+{!&P)mv{YZ>mV@30jBojjzwlG#{9T8-iDbo%+^CD6Tb=?;X z5QXxK^B8>OO53twJMe}jmrUoQ{IoX_jRw!+|D@P(N1GRwVhBZd=xkRjG zKt@3~Ea7&a)D0U(srGV{bu*K)xE0*6HvZ$QpJHy9*JDSYQRlmX5IkjQsTF-UEx71& zegirE;?u4jaoSIFUVFfNup^v}xPuA52a4J23vrRQOGUqLcrxwj_-^I0f)W@xXIIK% zg~#A!OjJCH?=pQp)GP3mJ%zvZqZ$`$S^?WeF+}sO&sLMp&m5w;^JO!!y@$9wwqbk^ zyn@*<0+PdbP>P07#-W?QyA>y(Lr=>=l5{o+MFUpgt+{H~aNRdKsETR`+LgyA1TFxH zN6NIwa7x<|Dg zW0DNFdj0&bN$Y&4oZq@P9L}bf{8aY}jaXH!M;%@FY^R?#iJ}Hz5jnI;jGcyXP6oT< zu`nL`AQrt!>KFOlE%ghdQMqaM4O0o4wT8x;R<|WAX+6QQDcwE!yBixLG37E_Jfp48 zyvPwq9=6@sdzw^*$U{M<;#pt**b%bwF^70=uRKcq2MF^8Ja&-aGN8#E>5(U+sYK0n zPq~}~B2##!b$rv4QB@oto;SxApp$?@imkLzmoTelR54-b3smS@cP#Lb>TzCOtR5pv z?bRa%nzM)PfF9rWO$VTU+26ES7j!xiS+^(Rq7T9LAFmhV^daz9c*;h@pBATM5lb{? zG*>U!a5l*<`r6?SS6fgA<95Q5@w(GZZ`(DLd^eP*)-yLNNQBtjqI8V7Td*|tP;M`uFJ5ThvoytU zg;%v7A$5-F^fe=yx8}{+_l@_~UMMCA44_aIGemfb-0Sf*1=nN>u6u{M2*@HZ0TTH@ zMEO7s30wZGV^^{o&e%pd&%Q4rZBtM)w|Ff6*3T5wAzSq2!U>m@NDnuB2{ES$-aYMuDR>SL;!{P2j!EgncFO=JU4jsr76wa9#xmysO41J4iLZ)}6Z zuo>i|R!k&~D}63qYgiGH;3Z}cR(Q!hDR;7?X@w@mp$7{atgSnZqLeAHNt871ie)+B zNM=l~LR9h`l5Z&!Txv_Hl$n%_pW{y4>Hsh-M75=6f!Bq&CqsZ5JY|z9Ri?+Oq)MQ4%Pz$ZmL=<1iWhSRV6M$K4t_I@XfQLpB&~}*=tC-kAqWS!?7e9+BsG|O6pxc zzgYjcl5y2NnD+2tTJV(BupRnDM~iLr>y#)vL*Q*_|BM(KWc`C1Nb7?R?b@?d3Po}=-=#=5K`nli67Oc zay~mshg-~q$5e|j$98fA0ZI=8Q$JBo)P^T`$fnU7Ct;3ic4|bx>x?BbzsKj;3VKQO z81V7!00DInMvEWf{hr$A&0M)ZM=XaMIhcL<8xCr4Tu>W_(J3%Q7sEL9CCOYQ_(?=f z1V6HSk2X( zGiB05pEnswTr11qqNM`vqz$8k=fl`&R_}F!4$2tmyydeelG@oE8;!00!gQaL$)sgB zB!L==5O~T0y{SP?np#Z5es(Uo?B}`YEmvknTy{>t54y&ziK(j9?xd-!s=&^Ca_P3; ziE{Gj=l)ag;o3pBM{Ghh#gX>MYTDBdmaNM@8=yK()7I zHb-rl(M;xVanz`3W0~bjxYkA)2r^mxCK5DdG2@uO;BWmn>q5)GO$L2e@%m~~`I_Sm zb^pqT;)R~suO^9Wa{9)`SRrI%2H+7hh5Z&1h0`_Ei0P7Op&97il&soxgw(g?T)vU@ ztul1K)ot_(IZk0iJ+>&vt*#Grb=ZysDP2wygW(m5&}31?T>}r3%DWuvRrctnes+6p zkdg`@$TZPx2SIuP6_jKkdA9$3CTah|=SlS>zrduhsfyHL&{ql;LWW`^+5ZhV#rlQ%JI=b*nP+T3gflM}wompwG zv!o6EV|dEmrlcJl0`7wjVg-O%7JNl~)vcaHNA(6po1FhJ?)Tr}*q*E|Qh>pQZ^RH_ z@H>C{hnQj&cP)ocU@ZR*zQ<&FCePl*-x$kdfrcy_(b#n#YpY4~$2=hJ*v)HAK-`4e zW7`~P;<1yiLUQ;HdWk3x89fjbsk>JXNQr*izD6jt)It~-1Cnn0uH-h4T;wN^0v`?lwPlMj6~tS z{1Sqew?OgSE!-m4Zk`#5rmM~wol9fVN(sm87#?4kvB0rP*iw+>GVsPsFfgj2c&?h0 zcbEvTFF#;#dsp#|P3gTlGJZtMBr()XQ>wRwDX?n_>zu{7NYn273#P zeCTrx^}+fKG!Nh@TZr_4UkyHB@)-?oIJMQM5m&=${Hdk>8xD0YeQmVw;#1#%)YytU zzYImLlRk0hFYVJIeH_T^Q@^|M^LVJf=6atektO?=Llkm(O-DV78etSXp&LjyJPWvq-LnkE7m*^ z)m=4rc>ug%vxs#Rq;qqAy_A6Br+|Phq3IcEOJEb!5>F zhXY!9vm3=b#7;@tj(%WL4iiD}lzm)Gu%Mb2>$Fy~Xqr=9G_;FaxHEWG!3*96;DG?<@;Pr;fmU}G1EmwPWE@%i^U!goELW)E7AOYqrdA~HX7 z=awQpdQbRq~=qS3v|?cs*0hWCCk0;G|E>qMnR#kuBFHnHTi2j#A7)& zcvXe~VR*`x!=LuTsqEH5Mz4#w{y$%njsKB&X|q#v8BxI=!DCfRAw9O)pPJ-wv+st# z!&CM*{H-6|86#G$#Ee@r;#E>Nf)l%AQ}bm->soqgB87MjvMToqPcxhC|B#|n)__YA zHgRZbq5G+pUv=%*rq|@nd+h#7-ngtSCJ2VH(KEMW@w=pEp(iCSo1ankQDKe~Na{9t zyz-JcI-Nk`C4MW)^lSKZ=02>Tbe(t4%wR0|4$7RrKTu@UNQwFD!}9RlRk?&i*Gh6>0~6b4V{I2ZoX^Y^TAT|mNr*;;H*Ehc z*?Bd;k4g?u+nS<5pBG))a9FZ}5a=s2imR+uT3id&^a!g2FjRmaKKL9V?E7hG5xMO4Kr%T@i~E+3ot=v5UZ@@YO~G%%Q6QPYOWRmBZI$K+`ZhscXFM#>2mn z3Nt94l<#7zLI!UaMvCAm3l*;uOagr{E~#YI-GC=`5C8Es=hO7toK)V`_tZX+FFr9s z(hH>h&MC>nj6~;WVkljAce~5m2zV8sxJX?r<;r0+B@@+bBqH?1SXEiLcmd#hhy&>Y zD#B1ETbD@X)Y)M<6nzeMk5*OxuWI9nRH`Ky?vkRDXjQc@WrgziylS0e)2O|ZiQI*U zuzCO#Rbw2W@s^B~Xy~6z)5<3cHmD%Q##@rq2McC8u-;lQ+&v>$0o)>o=xtvA0u+_C zzQ7B*XSQyR7Gjgcg}Tq3$D(REX5$gz0W(1tDJG0~k!ZP(DOrAmxK{M&iH;RNX0nq< zY7*~HWGQn5y8_o4VEL(J@a1A0Mp}GtW4al zFUPJj#uRIMlZCn^5snR1)8#u!)$bB|r0Unk2&&FufbTN`cNO>mJ^6NPy}q?;^?ID)amE3Yu~dTwv}QfI^olZ5b;eQ`3P;iyP` z=mi6yLUz#~c($0<@I^KwPtKm$k_#lU8YKhRBp4~&d{cjy2Wn~lz9JsACS~9xpaJj( zc*+{+n>cX_wRa)R*^ViRc0bofjy@+_S2pFd6jT;SFg|Fs7Hjb^u$pL8i7c0okXoBW zjx4J_z;F(dNjt5HjKz}FYl{g&_AvnBZcItP&!MyP3%C3T6c7D&MIvd1b-vWcMGEbQ z&rRkiikx1seHNt6L}^p;_r{EXQCs)@F+FYJFvN-%3AuS{2}1Pti6f->37%^0&&~8&&JU%lmgKsK zJ0!7~B7hxb>Aia8K0uEe>S{Xpen|J#L$3H0xK`9*+xdyAjVDW951{)iiCpg(9um{> z&E^ImKbfJ-XvA%LsfnI_TmI_yRR7u;hPl&#ptur=T8KWs+LLQy4^JlSARaIBS}AQ##KVebfG~wl%oQ;F zAeyZ292SL6%ujs*YCPIjOI);pJd&_ltR8}=tj$`j#T+P2WY}GhCQpLrE4zRNOA~}f~V|TO47kfea|x5=lhHy{=Q?w zr++)*^gp{(j&2?;oS9{tW4yz>nbPBq8I&+~b3v%+EwE1KxxhNDEKFYqyAa%0(6%+c z=_Bb_V?e?=BL0MK*SMfb&y@R?;#DF>nbD`Q_#>#O`0%(td47Y)(-Y~F=!ac6b9M*iCi=UsKHaVol@lj5P&mf^jkfk5%N??puV<5 zj|-3LZs*JpRko`#(IK7=bl@r5LFqZp8#{E3KygJG0BoHr_|(dBRCK1-wegUUNJfHX z*-%q3H9VE{?*%tW+t{|OpzUc056Y$VwUxUxF+{4i4JATX&7T_8(5z^y2Y{BcM;~mA zN;W9@?fT+XLwEqa@!s6o!3uJ|@W%@|}-*p_q`*u*Fo#a$E4qvz%9lxnPSW zz-!X?5mFv3O&_i`*Y3~Sz@WI`%Yh_3W#3r~wvG`RXW}Xc zbUFn7=gj|0nJeMclp@nQhVEv-68BIP?v^#K5Xs)Qguf% zDOTMQ3t9X%v+g=~zJ7lHh>}JKsdU25hN5zN2?)YdcEJ32Cnk&DrT~H z)i`L~iHzd~`tD7S0WLP=k>1QFFpty~3P&u#dmj)U1_a?L`=OXH;w@4h8MdGgsqU+% z#p)7GPgb9j;3ge)Zu*}h#r#cc0=_(2yV+Qn_a+K`enttO>|cGY*PcEEFB#*k4E-N7 zW~Jw)#Et&j12)H<0Kao1UP|ilm`nQ|C%fs)+^)mH6(*N zPh@8K18Io0sdCot6Il)GWIFILCEH8dD-_lOGEQ%HldXTr2|~x#zsO<8IK7!l_?}sQXPox;{G-J{J%pA- zKrO%30L?pvH=wgf4_`vf4A^7PDOm716EaSdW|E#sJQF<=y%`+4j{Vzb==nTa1w2wL z8qjPo$*PTI<#fXw=p%DYrd?7`;L!QU6!GF3b%Yy#wFu1P6cfPKOZq zwTY{g%K5mcB`;Bbv`AW)8(d8+g9g8A`*hL{!wSWAzZ@UlK10CBo!uv-afo0%$)o01 z8fQYkGKOGlFj8y%qh#Zwyf{_Ph{lwj+C@GO7A!}!xE%`Pg>=qZB@(5o1Xc;95Kt-i zGl5(Nb>X+Hi3G@{!*VWUPFBsQin3kJheDe1W)BiH?K5T-j2sCb<77l~KX4?7EU~iyli!T$W(>ekI7jol;z%e8Wi{_Ld2+xQaKoKC&D)9SJ z{Rs=S2Dpd}uAEs>$BPMqRs}VHLu!VR3r6}HulPJN!+Y_gFp`gz#msp~l_up*jMZ_M zHJC7BU07V}-9QqavH~T|E)yIam>a0B;ouHkLJnWTFI1lt4M%$#h%ZIstkJL)XB`d{ z#{wmI%GBb;0>fer4^+h**-2hh1C3jMD2U1DyZkh%Z?rPZNj1jA!l|gXvWwpRm)oDM zej{^Ms?@A`0%;rTI(z#`rD(M{`2D7<93sh_aV%58*7{3q&?oc2HPx&e4CKyy< z6VOmJDz@idLaHwH<`PoXFC$XNyh{WcTLFg#dygArQ7kl7*XHsrm}Sh}bffEIzG zX$qE~QA`n{zKT&;T>)*8!SB*Uozt38e&_Pjxf`mT&BJAy*R=d>>-(*13TBan6}@9hDwY7C$P!-g z2IGw}DKKMOnIB=I)X+)wTlqOi)YNZ7;IHtMm%^W%euFsv1Xw>*4c|t*^|z(s^|#7} z>E7tgd71}j5j?37xz-N0q3y*MUWW9jYO|y)B7K650P*its{lDF#D(zIrq)Vwdg*anjv7=p}{tT8di*kh4ouzl8sxrLpxZTG!HG6vLU)EfZAkO7(C_Y z(j{`#&|jpE{_-0DIO=9^URgOHY!Bn8SB87_!U{lrtq-OWo}BAh)IoJW7c%Muz4q)U z(j*&SE`*{cXj0wL7Ezngv2dA?x3XAhubEax`FZ$yxM^q=Gj{(8{??D0tI!1n&B3yX zF1fviq}xAsdm49s+@gX$XAL?lhoo{|wf!S4tkA0H09T`re8eR4`AC=^hs8&DGqGM2 zW+e3xUtZPy9r&6n~Edeei5cfq)n%U^=R=W&T-x@YA$35OV%JD@N8 zLP!g0?MoTGfGC3LRMJ8bMAD|!UVb4Z?GQ~M+6qQp_=3Me;d8Z1SF1lzV$<^<{*?rr zb>We?;;0L^H})cP$+)fNgv3~87QLR^?`irzaTO}{3KbW>N zJXMFuiDhAi(KYfZ^5P5mme!Vx(*C^5@8PQlLGSCjJ@y6nmT~gWakZvAVGQ>R{Ec!l zWYh}Kd>xaGc;>omj}YlvhjY87e@ar6rs^>PA%{)gF-0%8qef^7JO#wyDZd1%;;R_L zLBQPzHEgIjlLXHeguE1lpVG6>bo*#icWOY+oqG%QSL^s?(dcx&s?W@nPp!F5ifOi? z`)hc|5TFcC`7hy5dNJ)2Ekuo4(CyQp7If#d;hf}}dUOp|`@!5rAz<$~?vCl{mtRWh zId&X4PsAuPxaM_7NOc`A!mC=%Og$7)*;6BCa@&2Gu|B?7vm9fc)^=y0eNr;2%Mba8 zHwz{~)+=k{y=1Gwkt0S%s(T@E9sQ6ywVpW_DX|FwNzCN{|1SdF`LrLn?aga_?xuzpT%Y4- z>>}=fm2xTPKH@6tUHBPh09O{XUs1N62qx~Li4GIDVOtkqW$3|ElP))coWnpR3x?dq zZU5Cs*pwzrYW)s>quWnwkr9vyyr5AfmweMqMs96Rd*WNgxD=n!u}u^_oalyTDOnM1 zgf8^0%y>!pHAoZIZb*|_cqe8qb)(&1oO@?CnSZB4cn|N{W!Ad^hLxqi&IXc9$y{4Z z687I5-O&yB9zClZF|6o4jiNk5-QPw0J}mMIXKh?h998=Y>){tTsxnsZ=^ z@~~ME;`REuhz3^{Q;vQ_FFOOuAESn_TR&wDY4r(#kZ zGib&5h~2NsmBp0zr^K1`hiw3uI-Juys$|3VdeRO9Bwz-V@6L!}uEZOJl;v*S zWW9(xz(~v}$<7`+^9VV7CXZUXJGk7`ElJ(BNq2G-2b`2*h*}4)ybDo*g^8BzrLj!= zlsN(<_IPxOLiRo8ydy<+%l<$qa%Tqai#gY0!EjRjG_U%0mUYF%ruS-md4w)f3Q@aQ z<$Z3EHy1Aw8t}tLE^Xa!){y4k@KkG0HFIe>lD@-s25$n2j{qfj%5N#A2qMNPpMGf$ zpi8|k#5*sPLQ_{h;>*86WinI~CJNzLfULODi!%fzQMYdyn)%FUxz*eNJR+9sM!Opl zGjm4*xYS6_XBk^{nOWWrEN4~1VCfs%&Oe@Pr6FUI$FTKRkyfz$#MWy<;r7E7=~l&?lvT8%GVB?qAth#Ky-@_;2Snj1M<(edE}_DpfaNn|O60{`VGh<-N6c(ib38UIzs_KgROrc#ED&$Z)7_q0$tp_s&$=~(~5po!N3h8mHxd~%W%uvQZ zaj%V=LbY5hBpUX_T~L0$$k}DAbr9?_hN=)ZS0{(f1B|ngn~@%R*lfq~A{m@b4LK;p zmBFZO#>)r_e}tpJz2Q%KOpUCq8j<%A?7I#r`bqn)%Tc2i?RY$bX<~o`S#*T7EGAyh z$d(UPInva;(Cf#^8>|Tl`mQBC>PQK(9l=HS;yy(*P?akh?}Ry6jgIy945gjuP!}SQ zfaL|F<@q>}fu|fVi-RE4B%)D&`5en2AB`hx$8m_S9KIf}c*(Jk&LR4V#k{PpI+Qefrvd?w zoz%n17Ix2(%N?HpU6~;owORE~z&PLLNh$SP^zoL>-}qG0lZ@4W8$}QUzc-(-Y<{jP zt{ffjUMF}OK%E(AMT^HnQg1xJ&gv_fla@-_PFe_-$pkfqG#twW@CJ$6MxSm6>hQ~%&+sUv>bP|UqnPx8`$+1Mp^5tH_hmit$X$VS*)RL_ISu|_$$9`n#LK!P0gLxXXBEF7~cOVDRvm+!IO?}8W<8W+F|698z+>(47E zCdKU1hc+>ttUobUi(~17-|e=H?idhdmjtC#uWbRKsmMUeW2^oRJxV+IqpKNV)@ZoB zDZ9Wa1g-wK%F%F1@UrwG2!|J^JT~M{05tWmt1s-`ucFY1||>LWS7kD3dTYPAibhGZU_i;{O3QIM(< z4frB?aUEd$);U71TIJtNa{-cMwxGghDt2y97t@5%8ESYvu6lWYaDZ0!=e5G_mNn6g zHX&O1eO}LFs!EZ#8EAZ}3jLv8=LYvEV%(#f;2zzYY6?yWMceNi;DUfNGZ%W=e;2E*jC9#(5+#JxH@GI=p1e_whJZ2^JlUJ4fj?6PgPC3jkC9CF zDu5a@=blAsra8xK-RJPPe$=%(DFvvds=lM8+UPQ0Vyp=y>{n&B4`cI6*}x-f!& zrUW@X3&BsLr)<~n0CQ@9H>q^~Ue4rFSNh$UZf8|0fH@^wn~Mb5i9K(v&^j@QvuCt9YZ{CTwCw_JElI*@I= z60-1vbh2-zzU=$|5hWY`C&1w3Nx*J{Ish+bHWU;ys@NTQVkPx(v5Bw^E3Gd{H%;!|HhihRb#H7X7bv*J^m5~+aN7KOR5 z7@tbI?D!M`;!Hf_hS@eBusf(JMA!qHZ`YNi^D4hPC3XHbD(~sM((k9p&s=;eY@^X& ze2UQ=Z!Op|`XD|fN2#3K`x3^dUgG!Qc;^-tpYnplw@P_1NQdSZJmnLLX+nU?1nFM@ zApO+=x9;nx4#<-79Mw?>173YwI;S^Q&x-1_vIK^_+L)(Yg;)7RN}Vw}NKi2HjJlFT zP*;+D*OiE5gKAbd?9Ut72&+9Q7SGycxES?dan+Zt1zU^&p}&HGn*YsE811}uD8C8M zwBJ=PYSZOqQ<6G*_o0GlscV!^XaT`fKIvq1V-Z8>tzS%BtxJ-wj@FMeu^EAdujR14 z0Ted&R7cN=#tXi~-vo34``0CY3~0k959Y2yfaT zN+6}D>S+$;6DXQlw#3tbM&uL0z_YkB=rU1`SFlDgZfpv?m{#${7_Q#+jo zsK%6>`L~^<{IhiIvWLgJ&;36@+hdh;i2E9K2g}le0VpL|UJp9OOi+8R&$qZ2MgvjI zEpWTP0zj#+I6*Dxcg6IMhVAJzwE*I}y{8yCVuE@q5;X-iJ2oHw*3XREg|J2uvr3~% zp1q&Ecz+@gZhbd0-v9hPZd%U8^ouHGvUV{udfIH=Zy-5XvO@(%M8k5K+aEBdZsga! zd0Is6s5;Y=({VGDuw=#C@j|d8n?{Lp7Xt7kG|0lkk*$7zB5C+5cVq`^zBG@FxYE{< zRRFbFKn0%i>BZCv*wO_MQ+E=#`<_63<6Ck(HG5x99|fER7-0K<712AEt@h|eCx{ZKg+i9{ ze4aa#8MqqrOoqT;;VGX9e_Ce}gO=5Z9x!Z($1f-PqR07s&CTn%Iy@8gE{ zI%}}i3fL705v(1nNz1=TQde7csL;CBydx2y@WiJ2!U$GavWyhueP;CpC|yn&(6M@G z5tLMHgk*Zjoc?3T#6-l!wlhWrI-Qs#$$F?(3jFdzgr_ENw;{xp|M z&!}1^%h{fJOZ+K;3+W-EiKbZmj~g-ssKHbI7W`?SAJ_<3lRlW9U-#s%NzY_Qv&7T` zJIs<9f6i~+0Q`1VG861g5AIXM5P_?!0O)w0&zsj8suUa?YML|gCAX&%q@$?{8V$jm z>G68qR!j`9D*A2kl+UK59rqvVITfQ&cJ*%JYwSq-)y6%FIzHL7JLTgFWuY-z563B1 z;F9+x#0oqhcz%dnV>>f&p4OgJ7JoxLYaC&GUB}m?xg*Z|T$_&xM#)8wTd?+|AJAj^ z=Kt|^CGbrZTR&1rz=hPEPZ4>FJ{1*kR}gSPQBf8NktGCqAn&oegvb(7pQWIdMJsuKl!w1}J9%^eoI7{s%$YN1 z&OK*tHa#%q^~^<{>wB1xe#dO?;_ZGR@yZcF*%lnzlSz-P2@wN^?TN=za*Q}sNSQ>O zC-;boDI#gn-6wAXN&e)-@+Ey+JXqFGYoL#(454Jx+- zyk|0#q5UIrO6Cy5syRZm~0C@O+)A!yh+q2{_w&C1=|hINLVblj;0;BtFwPCuR)E>hRdg3rn_ z?r3y;^z2Sz7ih$xm`X21t((o%W!XIe+YK5v95jXJAt`6ya3I)J)7R(p+crKQ64a46 z;G=LMr6{zxNnl;@ncQA^8lbCyn9LxZ_hlm~_>!~9);4vQ)<%QTF0TV9SZ=7uC~QkNBNqbH0s*Kyl%Q{KQY`@K~4>yp%#x9ti&PQq}VKwIoPVR z90^h34Sz&c1a`mpd3byH{%8vL;!RNr2w!YjEPb%-pJo$H!s~IzIjO_;3M(j4CcJ^2 zVU~bq54(lCR*?I?4hB7@XEL?-txQnMtoY>(tc?CxT6XimwScKhE9ExIqX!Wi#Dp1p z_j^daKWz2Z*Ep1OIp3aSGMGB#1(zQPE)L1GWE}+6CDySeNLLaVcoq*=+b^+>2@G5u z{o*{ix#T1nUV2jHi#N3B81u!mg%xRm%MQ~OzCvQMw4l=(KLY??ypErfPAh{UPrvTI zA$NXZvY@n+eDU6nIufUDht##`izhf_%@+-bOey`E66U5fhUA0e4;Zz^C&3r*N%g1+ zpfA<=7Jw%kem0#Ey| zu9O%IV^Y};L(G{vz51>fAtUkG;mMdYF25AoL-2SMuC{Bk9}j2zUQr`nv;n?^{@xl+ zW{NgM{HnlAMbA%5k|{+S;LF~F5Y^RSu`9-WwD7U1xR%o9It7*5juKnt$6P9%y?!o6eeH)x-9ro_{!9aoTD zxfTfju=3JtOa3Y&WYNARsSfM5P;JBs#X+Etgu1LPbjB;(KzXcEL#f~|@wY4)IuP&_*5IcTh=JTne$D;^m!k_|)L$`~4FP*Nq-BPsWW!=Ef zryHl1G!=}MzA;N!i1LjYz64v{)?JjQA0uMHiOu8SfV<*x^#Xrj<0Ge|?%C`xtoa*7<$&HoQ2iQx-#ih6+*+?qS$t1wFuK1MXUy%qx?p|40eFZ48X;}T2!A}1S+p1#(v)KC5Uge{Awa;D^_rfqy zz&!4Q`PT!CEL|X6U01aPD{L+8Y|M5+RzpHUN|S?BT0#Gb7ujT4-@N${aSJ!S z7}DxpDYqV+p#*)?jaCp}%BR&%mqWHQsvY^Nk)$L?*luM5)-gHa0l#&3hUy>{yQh-M zovPOuckFquJ8G)>*-mqk#4-`(`$ObprZ;?#1hY9={8UWyDhaeQMO&5+*Y-CNlpm5C zUqLA2^zd3ATY)^3oQk#Ji=PE= zIjm!wo*%J}jfn?TLrKKmTCt6UtG3xJRh8Rnd};JB5`!Kse`!WC5b{-T^~YvH&?B3L zsLssPo1V=`nex3#fWK=ly-66MI9ytjAd zv`N{1puK_#lApNN!T5mdhL0M1&aDTBHE%Ky6TXjoEf=c81YcM-_PBk#mlW&7pPM%Z zhCC{Mp|j^C7<-~>jk{?`+I!G-ENsN+sxF8XshIar-t`7*aJBt~Qe|vJhutZD|JD0r zVtMupQ+eUtu9%_Ed?72lh@fN_!7gJWU?-)=4K;knBIQ7DEGNn8kj>jvf!V0UfEV9w zFASOdXTNc!Cu2DAL{L##a5u*+hQDUF6P7$AG7CNlE}ccnN>vL|L-Etnx@sgr+1d0- zpvJVgT}Vw@?^w%sV;vO$pf`KqC_s$UzW1?DNU!;Z8vBi|l%>Z9Rz)F*U4WRifxyES zR0!Y$nqEo|W6PNWw+HF+(;r+B+~Q8@Ts3&{e6Jd}%-oR~-xT}yeqp+s^F(B8GA=5N zx%N_;Y}A0cz&lFx;aPOwJEZ7QOE^~4HIgzE-JQtt;fbckfkZUGf2~lO%m6}gwf&6} z?4D3xS$Soe2WBm*?L<%}gEJU4k5nORewzF1JLUbFe=8#YjueMLjCW zk{-Nh%LJG`%VJUz;Ngi3+o|un7)@{jp!&?b{ty*v0p|p8pc0(`W(#Le5MoAymc#Gn zcIs?S1}I_GIDlsA$7K`*yL}?5`7bz-)Ys&JleTT+>`*{0*}$IVk15M24hB>3YUSnv z+pq&9Pg)F_lFoBO_I5XC(#tfl&!Y_?B?&r`WIYgUP7-`Uq`2INC!8V2C#daNW7kyr zAq+yaes{v2zz&GVR6-bS6|4vLOFbY9VXy+w@9JT}e;M@MS3-$EQ{gL~sMj|C<*?nx z$#!STsW|AVEqVv!=E_U}o8^dy4p?I!hz8O0oLWPfete_kx{@%NZjqzLVGpoP+QH@| zVT&1;c7%^NlBy?6#86lHL^`hIyDnRPmo*VQUHLd z#Y0%9$P>^`F-8~U2Y7rcsd~g{uBmz$;K$kheB^s9I zMd4GbQ>s^QD11Zm9WW;pzwy)1muzVNXx>ur*Ao3)_U?Iu)J{kkytV&{Mu*gn3naK5 zSjOp+1u&|gjO3qE194y_14{&>6k~9!XUG;VS4OOb+v8{|{TQrKxVC2mMT{WHr-2k) zZI8;Wl(|Lr>uC2B=>WdZk9?4tNp5-4WL9e+5ZubGnCFH9MK;-wNsW{zJLIIs53#`1 zF?t`_H7*o(?Hn7MAb&vNdm?BHI3R;^91XQXASiPtsvu*58eDCUH*Y6~(-0l&N(MkE zs{v|8Dq2Q2_;X+nXVt;7GbA&A?kbP7e$~~E!9ja^b^nMjU0tc`3^{2})h17RJo1(T zzb9bRp`rP!_|bSfBB$#N@(ARuj=Yif*FH4UY(?v>Vv6&Erfq(g=&69 z)8hQ9m<;o4{baSsI=>a7foH}$i&|O*0gepH-9AC-b=*G;0E%$6J=vTxSl`6x9((uP zLu$JOEZ*AtoZcb9&VeLwn{ zy2WfV%1S4y#;mRJi;;Br#n0aJdVtlfpa;hxW!2@8xLr6MNSKYd2**-Bnf26ba3dwG z5^i8MccXBF7(DQuX!(ecjbzG)d(yEI77_#8a2``D-HHaQ;M# z5hJ!{GEpUTILk0wV)tA&toO}R&?uKF^VKwt2TpnRi= z5}2v}iRNtu1rZxNBIh;xJH!NT<~6Ni{@M{U&Gf5B`LHY=RmU+wU6#q!={0j$B}M6+1qFu<^Yh zKUOvE{BfAhXf5)9wP<6Kq#-_3W`@!4fv;1za7(FZT8>m#2~>LnHMrUmN|o_8$arv2 z^@*dqe*svF7Vx%``cWv$(7|3Xa@Ha?1R10!4FQ{(0@kUAz%6D)(C7UEL-4aL;h*!9 zKZPOfS>U0NCN}1UzoTREM6!KQN zywM?*Ys{kWjN%Rr-ZQe)MENFlwEi+mR3$axTehg^PPfSd<|7L)-goVDg57nwE|Umi zprJ@4CU0MnYJZ>xm%c?dRmfE}+ zTmENBV+apeoEf*QCgPV%tS53Pd2U$(U5S3hKRPE}@-*b$e45`H7M!L#Bd~ZGK%&a? z2^BDDVbZs}7}1zd{C;}vaPaqV4OcHr2ev-%_Qof;=g&x*=yzQ3&6m7AR%tH!R?P{6 zv=Yw^2hLjxj*#Z0xA1eHtG4jdghl!6yLPJ(i@I#paH4?6hS7LT*?!}G=zgFJmtITh ztGF6G)7)ZG#wzbyN$V||DODZ|Axf-hTuG!QUayl|C(kqt?w+EX%yTKQ3S3C3)~1Hi zhpDYU9~9Y;>SPHU#D=GJbCR&{ll-1b;$TKOqcWrTLPJyOx+N#fNdZsAGcHB5M7=>W zed*gsZz)TBU{uNPdDEK{$(FacMt&phT_Av=ylu+^YK-kK=crL_FXp8>{30Kijy5NQ zuBAT+O5mfikFCkDV~O8IQ-g$(VOeS+3rXTve}(+wATgQA!W*63i1L2X8i-WI1*tFG zB7O4|N+wlZIT|QRE0X?7uAOu>_F_9nDx-J#J85V zZ86bvzU$-Uk!KP?#~ah#!G|GpWL8`%r)<|la<2m!xb&i=3nm1cX%sFzl@FM~Io(^<2_g$lnX?}}r&Qmnp?)SAJ@vWG zI|Z~JXHUBBA1%&dINE2t7tEq~YwIaaEtru}J* z92hjI912k$ND83-I;k7PsSA@01QbU9g3r1t){v@iLg2JswbmQ0t6pQV6a3mzISk9V zBeIjq>#9z;UT(39kHN8mimm;9!8TU^g1Qri*7uY;!Db35wlUlRvQD_6IZ6B?R@}1X z^@60>y$!@%H>Y>hpL!iyFQv--W@JF9&hHg>W@ccj%w#Ab%LeQONmtO{h}3y?P2Udd z6kP#MgSzKpx0HOS@={KeLRunTzwrrCRaS_x@D#R){U1^Uwh&hXx?zKS3+d<&D1kP0 zf!)%h}~c6u>k1IB*@OEN{u<1^EFnNzg59j?N z7t#kQe_-{L9)+y(-ds(v4(aS{=LT{DlY6ls6X~rECG&#bUQ= zgxVuTX@tN{4qfH+w_u%mgwkU08hyzIaFwf8Dbz!Yb~0Bvv+8rqJa_;TGaZl^K7oo! zmo-l3ocU%ZsS@>C5sck+k@lU4IRK!u7g@BC>A88fEbjVz{xWd z2*RcBB)_~oK;Tp7>Q2{}d4>v7I7Gd#EWDZSEAvBPd+>Vd)3@``@|er+JgWOGWQr%d z*&-fgPlyYdwE58AAwNjf%W=23G%R})mWCB?s-@zAjf3K8=LGlMIT&^WVi7of4yC72 ziIolx^mks2at78i42=Igxo)7*-)XUHyy=E#0_e3T&i%2qCr`VCgS9J?$uNdxh`J&& zv*E!3({lbviL00mf-*SJ83f#gewa~47W}~3-ZI0IQs5$EK#-?$6bL>Kgy7QO)tsQm zJ)U-V-q7u&U?^vMt1W{=acAA>zh>GXJqdi|6?H1M5tKQMLGEte32=JgngN8t$n@zM zQocB0u?;UT0xM&6*(Wv|&4Z?V_3_t}geHSDrOJC??{H#Hg6(z4gY~%rfM6h;s|BM6b*w%b$xycMss=?H^;Em-F z{5F01xxv9;-I|wEDW@FJ8SNImfiztDu2AAb^H%7g6%U6d@)MGgoSDk;V`Suu0|bhs?{`_)s=A@Nz(>5pZ%?4-u-sX~gCF-Z5D|V5#a4i6uSd)$tVd zX)}jp1q|EBy7FQ9&DF9|N|Cl~EJUaps}{mVx3kSz$gHR-YMy-r3Bpig)0tNCDArM) zrQe;w2N*FBW8l+vANaK0XRub~-|LRVrsa1whB07^Z0SrpTzci>g^5714-kS&{}@t) z7ONI_uv*2%4cq?5A!t>1^?)_acKZ*mzsgM02}3B$+1!S0AdrGf|2QSe1TL@@G*t9= z>Dvvy#p!M?FZxe3W_bBNE9dW09*MYeTENcAe|+fgCfgLU6N2B$Y=+t{lax=;2V}L{ z;}VaqH+>B1`L<8g3(@plf4E)FDgNcx8|`@f0hS--DBSEL8K#(K-eHV+9Bt$)d-bIPAGu++bu?UUpsv>aZTrJRZUxm zL^IKY^FN4&tOUBk>u@SZ6A>WG_A96aDP-v&ro?l|MgIjG##{pKFLPXuK+*d&gOYR} zw)Kx1uWLqK^~d%QI)J63aV6{~ayR2Ul)_m%3HY+iA<$j8d(js7qG}6gE*((j>^JZz0t-=oi+8~ z^Yggmgfs843bNoa&OAE1iGFPiPJnjRnK_ai420m);~qYXB&?nu>HFmc5a4*B+R`xA z^<=f%+l3xBHMIW4WUE;i5WaWDs}lFU)1n$AP|@eQkv#tZyMtD&o%^35_(D&QF{#Ho{KRY za&%TFgPVr5A3iq>{Mm-7dboD(^FFVA=9XKm80t0^bt`APIOmw;LG6v}4*b-XuJ-fZ zfuL02%Ji_r|st?as@ZsmL**^RaGB}$MJ!w??T0sj`Peam)0P^zC*tz5rv zn-B>vG1S{>5)rWU%X3Y=?&0AU>t?o3#%+SCn_64 z1};7LD8PSA^8sFw#X~ zf}?NpR8nq9hP>r($I`~KH^WvmCa|+}IG9Lh6!WKg&yVAY?*Q5hGYflsGa6B7YtXe}H+1Vmn2WIPMPj_u z19BT&`q!Eh#t?y`V9pys@?WrrAO5hfQPvA{LjKhbC zq}8!*^~14_ayb2L4}25d;?vg7&p;s3`4iL&!p3jH5icId1d=9&t#QxCW;t3fv)CGr z-u&WnJI@D(7cMYH;Q;A77F)ug7|PX_3KJ#Bv2@(`6wZd@&8Mej=+$)KP(XR5-U>Hn2Y4VHhpjj8{&Zzr|;f)8a<=g%h@dd_ov4}Z`=pilb&SPR zki#d1HpCG*=X$(JgdXOwU+5l>x~-WfOjaYef;oMHLov+ZK9-Rjk0g0Mhd2aj^kD`& z)FcqxG0vZH`tbpDF$i@t1z<67N~ar0l37wGG$#og%!p_VF8QE>tokru5YnqZ@OsC~ z9~qS`-Z%uLY3T<}f3yx|B{JOe3!-`wo{AW5c)BaNTit%BOs3Ube7{(F&)(PKP62 zeyH1!AW6#nf$9^p6HKABRf0s@Cf-?`On;EX8x5p<*Do1|Wz3&u@k2{-GZ=!+AY_a~ zgP(hO=Rvkau<2;is(4fmeu$4?yfL+T^I`iE!@M$O;4T*EK%A8UwYjf$%Ng9kK-%zd z*e2VkfGyj=`Q|A+RMQqplmZSs+wuZrUpmnPr&#= z2&$bBf@*KTUA)pCGxYz}r0fBX9n8edHD_#L^hS)wm84hLOeVXhQNo<;Mq|4ul=3D( z2&xHcGhqJeD%b_k~&6LgTpy#4V1BPksvk-9VIn@%gK9nDGgjZZU64D^Sd6`d8 zh+eK5qUqVKgpc&`6D$~_Od(BD2Ry@gEvvPvd3unfXk)Uj&&Fo+PA8_5D0FLZpI>^EgSNZ(w_kF$3 zDh$tm<(Q+Xw&(9ASh+3?izRd!njzx?`Y)Y`-)M zTZ2th9jl1z|CNKul~)tTb+^t8wY@5Yju+C2RsGecGns?6v7}8rvGO_Pxt|Y|+1$5L z%2{0GON+*Z=)CfEWI;K%;j7O)Cs_&2A?4Fk>`pc@u?w@LA-Es7#bCX8-9XG1bW@Aj zp=IB?BhJb3(?2JK5Os`JeKL8yYMksyR~jeAUU^u@#tDUrD*{`n5jnT6?L*AveXN1% z@;)`O$oO#Cv*rN(5?!{I`TS0mQiy6N>y-D9Xtro^I5C3n=$v?7M~FbwkvDb5JWPcM z24p|2d%zW-H6?|AS^-yygmoH?aN*XYP}c%3t$0t}k668u*h?RsQN`nXqyb?k&y2oj~T_Avf`0ByJy z{!&o?&rV#xDg=oVR(tqDs^aB2^azzU7la}xei(4hZ&uM(?} zk|+eVhd;y$jdmj*GEmT~Lwk?GDg=o#O?!9)R%o;}OW-dB4PGW3!YTxba!PynI96!1 zeq0SG$guyHE3pbeqTJCQxehBdI?X!xOF_nVOIukyQOKL9j@mb%NU zprjyktswW4$miNW@&eB5DL z>h!lkNkRWwGF+Yr;$yUbwiQ9S!x(5xZ3jAou;Fv)P3@mOM9|f180d}bL)aa(O$GF( z_D|$U7`tmx*QJjeXIQlstB}%M{GrkQN#8`HyAB7Hg8seu%@VwYAUZw(+J+Sx-Syak z6!f1!FWSKf`hecl{z+d~qq|XNx(U~1Xgd)k@%B-Cb)eL)1pZP=69?B+Vikfu!XFy# z(E+s7-P8d}3Yt_jcLUZTsF2>&9u>JkcQZ~_3Yxrc@O2C{UjmiUQr8~;H3dz*uESxh zLrM$q2R!Z|Ep;8_$G!P#h`tPh7K)%_twqqSB~VgIZ+*Ig_8o#2NuWnW&_AAJptpY? zPwye!-(DywCHvK*H((utKBqUe4J~M?>vA`g6qGlx%XL_XpfBi6Z9`XD>bkCgl7ik_ zl%~`XM4zkCHjETO-3SBychAN{ScjnH^rp5!WIo-4&oNMb?o3*TAS4g{)Zn3|?jf8t z^l@_r^tl)75VVrs)Ha-;rS6fNp`@UKMOSyiIs}#A4~_Q34Ybrfid{lMb9Wz-yWmTD zQ+wiB5%k!32Kqq%#ZIh4N+^$z(p$9DJ%Lk;Qu?s}u)|n~ps(=+XV*f<9VE z*@l8pKpy?>K9DVIcTvI?6^v1vR zaSLCWu@V0dQbNfFk30DqEp<=*8%hdVw4g^L)**kw2h4aN&hafM#sXf(5OPvYlA*J-q2V2i!9fEK`;c=%s z&{Ai<4N3}f{PZ#XhX|^nH?^nf>uPkPW-w4$o7b>oDJ7o-!kh4RuOEiLlv4Q%?c{#) z<2`uXT3YJL#F+p_JC^K0J)~5VQ?{Xtc2iEp-!5LP4&{AjV4JD=I+nQX4 zbqM+ue`vIg_tH`~Z3C1Pw5e4!Z6|_u(VN=FVIt_=>lo;VKJQP&It1;eH?@uPXsMen zt7|{b=|6^n#0F~{#hI*|aS(3M$8Fwvqx?A31F%g;X{np_G?WyywPh`(j*t6|-qfDC zMg-a9DYfm{&*U!nT>|wMLH4Vmq?ESjk3EcaNNFGb&}h$0rll@#6O9vxL-FvUx-ym=>Y!FX#W~aOWj;qKJCuAt{>JR2zwYo|D~nw zga3n)Qrh!$ZF{UkP#wLg{i}kOx({bUNkM!6ySOXXA?Ogjsr{>-mb#CcproMRel(S0 z9fCsiruJ`qD`@!q{_vNA_O-a1_8o#m3fjM)5J3xY+EY;Asf64GVR}>h_rFEZqAM7v z_Pr`f9Vs1_Kubi>$3qz?_`};9u?|5;=uPe4TWG2KL}t1Jm-TMPKz~S}zeG?G&ScuA zgHK&4bLO8S=xj$3w0Hvp)#U}`9zQC9UJ*e{J2KFrO`l%CI&9N1{GrjFokdICvb9js z$JJ{LJFyNy$LUS&SqCk3pJPEm;okqCFN2^42_&+N?h6wG9k$0h;ynbNz#kfILQ6|s zF|sqIbi`j-f^`T&@rR&?XsKKA4=5?<58>?rScf1{R%#QYMNkPA6m+!LW4-bJASjAI zfYKsb>b@KUB?TR`x0Jcy6uqfUh^v+ED-=Z(blkV62=5`K(-KGo>q>86poYw+lsbZ9 zBB<#mTIyEecu`6xd%oHl>kt&DH?>WDX{lR{j7C9`**8^U9fBI^O>NUOTI$wLg_44z zH4V8~hoCd`rnae+mb!1YK}kWU$afR54nZOxX`4hjrE^}zK(Qy^q8x&tzY(p`CTXG3 zmEmJ4rMRsdwvSReOYdrv_tR2Wo`8~q8aG}Tg>^^?E0NL&TI$>eC@JX7g&iBQ4na-y zrZ)K@Ep-)NLP1EunIxf;t!y-iI%zpFF;8_H%`;{#ySKcyCMh$ z1yDM;2L4h|yQ*D-u?j)g;}4CNw5O%+5V8&h{a>Ppb~J)+pf|PTMG+J#V4#~H9!0qp zK{rYu+PfNEz04}@E%$E0dkAVLfmYK}cX%R{^l`UT#)_~GL8uC0oAA}4;YYrQzZBHr zZ24NOLeNe4L!-@z^H2BZP|lhC4Jmof5q;? zI((cs-?RcY7&2`zPv$X^uH`K>837j&XGThqTl>CAiv zy7${BO0X6w-GM*gyy|{h>i)ttnNqs%FRk1KchZ}!>DRPC>8zQ7x^(+>7T!Zj|CB%< z(o&c3LrE!heX~%WE%Y_vaT`QX@)-u|wr&8eLrQnko2@m+MbNn)8R&sC?JKYjLHE#` ztuLc&q0yyq!0F>2>Uv@=19g@_Pt#JDX3Ivaho_e9VIVq)n%0+16+st}*D0k(DkkJH z5K1=ar^{B*QkQuQN(y?k@j;mj#7=B|*-jB8EM%a^y9jhNu;E?k&DNKt#Ihrl$)5So&U1eji4k-ppw$ zmUrXuP)bk5CLF>#1c_3&bxscvbkBPX^vwO8WiEJByqPmzEIT(b(6f`068)B05Wx=|WuO}huvFwHah=L4}-}+%4 zf}Rs^YH7!5TKC?;K*oDk$g<#h2_!Cxt)FHJa;w+Ik1xS{NU5&`dRHu;!Plmb8|t{b z66+9zt2Z=Ui-H2mXOF>O3L19u1U{OA#K+LH{uWrLX*~*^4LX1`&mW-mqUNm`bk$|K z_u~IFujxi#HW+Fy!&hjDr{=HXXJZUao1;dLP@(booI_9NXy@{@@f@8)&&WorY2$%9 zhn{&UG@h(;=vf>(>n-t!okQEQ(dw4qSv!ZOGe_viiHlFKq=npyCS#OC4{2UtB zP#nvPjy#_9bLc`2o%NP@H>2H?<(INDig zbPM3nA9J*`MhE=^I5d^{|7%{NqX37-C5`KEwH!o`0S;Z1jaKs@x(;yY#o1^zZS*1F z&`Tt=D3P;92b~Ex^iqy?78<<@I5aLJ|MM-;y?{f1mW@`wCHfg~=+7mzyppKV=y1TH zzu;(RwHrMTICL>bJ8R_81%X2^=V)hf75XA@XsSuee3FGmrvwhYGP@<}w?yv*4qcLs zR*yWoDRAg7v(akW=&!(`zv9qY?MBB14*hjDTHO-#VBpZD5?Yi#S^bT!3>}gQ z(Wik!Q*A{p+0-pT=LQbFhHFXITcVc(ho(x_|DuiV4jlR$j&@eN(eHsnJ1+H>=m5c? zogD3~cB3Z*ho*}4|KdS(iQv%XTuZWOqi+O<#_iGnl=kQ(!J*w;yR-TPy(Ktw1xGup zCFnN6q1SP=0j(Z+^q=6+bPp)^NmfhHk%B{i$F(F2jUE*onySz;p8#6j5_GNL&{f&( zR?kcHvEb0StNWia7M(3PH12Kw=jfo<1&7|i(avf&x?gbU@3YbBcB3B#hxTwS$r?*^ z$l%akj&>FrJu^7;Mvit?yU|61L)T=Z)$K-K4G!(&&{-`(rwtD6zf`-?dxJx7x)d7S zI5_kVTuZWe5dAqg^pBT9qhkk$-h8Q+poa&C-onw&nrZ0j!J)Tiqt*S5J|7%<8;8z% zOLYF=(AzJCMlTQ!O?R#Gyv!O)bO+(kKS{JNsw1Oc2#5apQY}FT5f1$eM?32+(Nly& z@4OTmT}C+cuN*q-Ezx&`L+`p28l6Zu^lk}#QSA}ENjUT#j&|0(M7I(Sy_ch%)o%1J z;n2Tjqt!AN9Zfj&@7ZWI52D8jhu+7bv)YZWCmcE;p=E7GO&fhsICL#XJ8SNsGYW?e zaXx8;3Wq+x(az#Q^i$!`2QP(2hZPQ8$Dy;@jh-tU`p~7?jV>%4 zI>e!~+Ks*}9J>BeXmo1f&}f`fR@bbNNADI6eVC)2^_J-7!l92`3XT3Q92%@=d`r}0 ziHXx8y z4Tq){_&-tve zL{}jW-HM~lqp8mjht_gvz9p^Dd5A;nvfolAt6HHK5r=Ngp|e_o?nE5A&85)jSHz(& zzZ4oBj5zca96IYQ(bI@SUzv?ojU{zC;?P%d=&ZLy-y;s)_EKncLgLVR34JjuXe;za z;?TJq?X0&%wo{~)yU}%tLtmeb zR?|iwCJuc=Hd@WG=*+~SZ{*PMmTKDQ)x@FON$891j#{C66Nmmk4xROu=;y?tZ@Ls3 z9iBM!%^W&wbkOsOL$|*a8eO0`^eqzlV*8y|=nKW6J8-nK-V&XnIP|UAXmx+1cNB;2 zc&V14n-qus$EDEdFU6s6<64r{gXlQLp>OBV@RsU!qX!j-?!>hut549CibLPQp|e_o zK2;q0POc?cXmqaP(EsGnSuH^?D?q0-9T9cO|6u)+J)`ja|7AeKrT;JeS6LCLoaxSu z7;Ioq*G5v?%@nfN?d#@rU?dYUTlwlc>_&6I7wqcr+96xHi3F6qUFtA8?^EKcFglP2 z86%&L$l2c5i6rkfnS$$+cRHQ*O}8a%K|YL*%i)oe7GcV=XMq-6`h2;;8W!mbLRv?~ z*rzA%g4n0AyVUU=rXRnLg%YwsGv#=yNYf0o;L^`&P7~rg`e_iToMjnE8mS?IdH71f z>7K2`5-R$vNia_-CQ*-22N4ZT0poiZ@n*$A!}*jWS@8A~32Rsms*?+kdjcK*7iH377r@tm{Za zfIv!Xh)I%((iosOFM{j>MK)Dz%AtjBDTf0w+4$I$&j1a$^dBNI7(lTTO5tIcAP>_} zLZHOM4$|NbS-cJ99`BH2P9cDtA?8Daw5&cs$vUl$G4&B8$(Rjd$3Wyr2W{c@9b?H( zE-H2GQ+GPjRCb#&NFbJ&E0$J*_ae!sffQW&LQ0YgPXftBX43dacg-QD`xaW9rmF?> zeeYQVfeL8k&KCknJ5bDc1SK>nPB+%E^O2&|v5cb^U>)mNjIA2QCydV7?$g)QG{msez6D353Lb=;eyaXk_gS0lbj((KLw=V(l11sw143bi-(uWh?!_g zjsQm=QL|Xl5h+TyDQH(B_F=flmw*gh`bEtN0taBQ>(MzK-y^0@9~qqe+6W`>v{}M1 zTrBT~%@wab^{A2N({&4Rc}8QOyagoT(tk`zvw?$%N06l`BMw~SgXHXk>V^ubc*NP{ z`ygq@z;ZEHixv<@ySs3`(d@4*U7m{B>*nqc+l5TwZcL0<*)41{_*dU$I_Yv&&-r{d z<=U(WM0dZor%PRN%A$;P}0snhY1CoY7?6g*T2L`$B8@R(2X zFy*%cQOsYN-wufov zHK!uQaGJ46u@vb`lcG2LgiF5+N_kSCv`IU}*iwtubs*6t>$vdF1$VGa76z*-7;ay9 z#`8c1F8yan4j6+G0fnfyM z{QW{|F3w(>3Jh{kM#SW<^EypFMHY180){r#gr!s-VS`O(@jO)@7mKe?S$qimhD*O3 z>lllBATvbDorCjP-`JbfHT4P_l|ZM{+;@9Z@#?0Yh6LuYKkba%PortOhTab>Rlne` z2DkraCGtLutfmJLhfBW#pF&w3UxtR})Iq3u2gI*B1;PJ{Zzkze#p*cPBQIajRWUHEL{2F#++PqE?r&Al zv2n!_78lN9mV=I=bYrsOYmS<@SYpzw(K(~G5X&1s3GQ7BeG$W$t&n(x1@#P#jQGu~ z&YR6NE?h>*Qj2ln7~{fH4*zjWCdi8DOqdXmh34*cr0{OFJTGj;Ld6oR66X3@i==08b)&A7C0hRruNl)%TbMcEgLbS}fy(gFWz zP&hEQT7&dxqgB$_>xa>XC~*~=Ae+aJ>JdBkv{wi(-#gN7#}SKVlzfu7tW5$^aOu}l zqN-(0+Xfc35jlG`y@>7ww{FfbZFf^JtZtzv>Z5rfqOR*O)ocChYoh6cJ0CHUq!g@; zRJPm=E7~@55LUG0A&1#+P!hlX3=e0^^*7SPMR5n?3)vkUBDa+M2w7S-Ck&yc)tgfp zn1xc1>-6HE14dK2^v6gvih1bFRwcG9=J#SE&p}CNv3J}dX0BQG97z`UH6_x;&-4IsbP)C4Vp)D%N&K$K!Ed5Ioi58>%dRfuKZ8e*L>zfmVIMk9pwzdT+*Actd)hgze zcYWrn+l+>2c@CtihFxDEsRVmPj%G_tHKnUsVnFFggAlwI0#80Mkra2A-xM-a7qyk5 zMqBM6d5K8^H8wvsG;byJosVwu_MZES2y4uCudvGRtiA9x32`f{FBRJ^vn_6Go(5WQ z>A#m+h%-);dlL6}KEJqC*mH#JU@^xUtW4BZx9puA#Uf$mvdyBAc&Xsg1Ix3FZmRH9I<|am70% z`E@YpYWgx$08>ib&~5*~O3Kf!jAn2{XCs75k>{hl+c% z{aiv&vNkDlS~O=TBU9k?8Wl$KL{%q z$kT{7CfhP@h6XW{fKP6aya+?!&NL=Z7@gzH>rz1qx@1Mp-oe6NhLlVu7+Sa=kb+C^ zZ%z_+*HT{YJ>x4#?F3Uekggr?^uJC;Tsr0XcJ)Jb36sBe{AnS25JE&lm}GfGs(>~V z6`PQ@v}a_m@B`L$q`V0x5>Tk4n5k(*PUGiONph*xRGnNBcX_8ZEe;75Zb5KnqN>!4 zYOBVNND^36)FUMAIp*+FsdwU8kb1FaIjMIXf^$O(RfwEzf)w4(i$u=e+?*r`(zwV= zC6BHntDZ2}gqf=!OZ%0`*{2q-F(z!MOCO0wJYkHS9X87nRBiIxLWy%SB$AA>Sf7V0&W4KaOrnktl@$`ElZ?w6|4S)V2M?ktp6G7 z7^_A!An65oYtSm@ec4C~OVshUoAzCe`5_>*FxUxRW9FvIaF55t(=SL3AHm)g5~oU9 z);SHipi#@Fxm~N%LVZo+NHgY`$+!ergD3=wY%1-f6gj?!I=3mG{jiFzviDm79T%wSXyQ+#Y*HklMpRX1|qXON<_dg)z8V*s{} zu}Dn_sZ_+|xD|1(o!vJO4-t<|UU|l5}epQF76u&c}v)kM#cHAW@x9@PPh5 z5eB^h(r7oRm-oUk((-Oj5IHLW%0D(hdz&{DWGg1(g)Pn=BdL8IQl``ni@Ju?z8c_@ z+h&|jd7i7cdcPq_N&A`zKjG5P2{dQ#)y7+jE!FR_2`nyG9Hadepmvk#vQ zy`_@9Eg?szX!aHs`57THy3vjm%V6{aFS z+wPBo;jkidFm;>TB~KYNWw0Iy%B__pFYM;sDA3`oV%`IdWWfWx;jC$=6rbB}vMR}B zP={mM>;C36VWEtR`N12ljil*awQ=v5`_Ym?mGSrYaq<@FayGRtyZbCOy}5h9vMHuX6}wmok!T8D3aE{%E6 zq+O|hg~MPZb=#kHr5xqqZqt$pvm%PsOnXS`23g8MvKEwbkR^W4E2MUSDM);^{iCV+ z+E<9lz-Nh%`Tep*>osWc13(KdeMoMx%mygtZfcRcvty>_+c0UUS$EzmgnSidlU-H| zY(RnSf$C)nayLSzFhM-EtJnmmc9ok{leytSS1FaAA!wI(7ubo6U|1$7Hwg}q=z9)q zy^rV*5a+G#sG)5j#HV}9rK9z%k_0-~HMMY53W1KSU3(bRW{$r7%qYKWzSBi=LkC5Dl0-3b;kb&nYhBa+5+c$9|~{ss6aGb;U|oO{v_7X5unFVV55i47^E$k>LS)} zOoB7s-#a7p&Z>Z2ZDs?HfVTMWRR6VNv^2Vo8X>K@n*IN7RT+>ois>Fli!D|WN`PAc zAtj+(oV6R?fm!Q&M=kuO|N83bbaZBXX+ba?f@6~?_y@=)ceD}@0)lYqqs0)icey?G@R&*2f}?96I;KPq4MHx z?u_N-k8N~{*VR853@+X!)TBH!hj0JIY{Yc5E>F6b-0?V~Y&mPB#5ukO@^MiO_Ah$4 zf-HH2v$KxO?3Oylnh491xEGLQOmgO8Bx8;k?0iA7d>V2)EqF%FBtt8^mwO{K(q|75 zs~s2gNW?EM=qTcuK>ADS2UHRJz;C$pe`6i%@f7s9&qX(vS8Vt<3D>-lv{co+Q4^0& zHg6o8H1Il3ES|YZVRP(poMUk5&mvLiZn4iL8;~pFr`E#4HZK`XwldB9Ha2_MAg3F` z10*KY67s*7*CK5jA(N&(u>bJoWXhq#YFSxy7u^QT2_-B7FXj6ICAjoW%_+h#iAmS` zwta{6yEaf&bh|56+g~3H%d1E1ai@2RWvkmNz@Eh?_`1t1fcsL`tx2RU$$9n$=dg}; zE0yyt+oZhIs&;c;Pcl5er^>fudj3LZ%3Zz1l{CR(5>2~Z%5l{acnV{*^GK2QsoW

#zZ87?#@2x=($wT#Z$yU0O`(641_xl`?sQy2n=9H+;Prdf-fC=N3yG1=ygNH34Q zsnJk*7Q+OzV4Gc3I*}BuQZd-L!p+j=ElHB{oFzc*b)W*5KGVFJu(qS$5V+r*c#*{W zTP@!B%Q4T8(=S<7?l)lcbEP1$7a0A#t9-u^OMJz{CQmd{v(x#KV67=kl=}sFBLNI2 z3pJtn10f}0TI}eOsgSMhO+z@GUOhGKP?D5gSX?#4CQK=v>J)rvinCiyvMFvS5SKVQ zm(rF*v&4V7mtiI4XjnHrXy5R|bR3bhX!TT5^tF{#7kw3vIi@ZBG90$5b^>rVlXChL z!HmozZCr9&$nOArEp7#1#~bldjcCvFuyw0_UM=Vj)Yim3sR{0tjm9ud;O2D6iQ!a6 zsN9_9bio5AE~P=72}Y3wQiX?}(GnF1CH*6-WZ{W-WnVM2ai+OPF z{)izdU`qP5SMf;j09nS+ZIG-aqF;rdaOGZ(b(En&L`UElDnpgrfsaigy{4F}t#v6^ z;>igliMD!CC-~Lqzq~~*q;IZ&Y?duBe8pd@yy^O##%VS~=wHl=*9w`XH&BKv_X;Q# z%>&*>+a{9{&$>3nW^N=~VrsKs=G2|&!KIvNsD#vm6RU0u0p0Xbo^)! z2VYuZ+^JO`tH;K;9O2TxM_Os}wNy$;)jJJ{_5xyX-(_I_`1HzIQ5X zK`v|q;6HANfU{DPL(M*LsM)6;BH@>etKL7Xo>0?m)GK$XN^i@(21!cX%SP>5tYh5k z0PanT*%zlyBGyTXfU_a!n{9gMKNd_O2V1@&VPPn2Rbvj7Gt4v49VVaxSMGI4ju%3p z9|@w1&7!A?vFKTKv-jmh9rX)8skfPj=ZDe}myaG>ZJ8pBAVPiRt81ufu+}0d>LGdu zvcA7wei%HFnSi`$@w}wbeTFo-)ds7v?mm8RdP4Nr893lT{nqJ@$_HMRKo_hHc;((e z>2jRx&WIMfWgmmV>Jz&u&{X_M#^bRq|JWoZLS8*)2DljxL4%b;(eSbNC6it5jdE*c z#S09`QSq?k+&GZWnSZP0^Yqxu(t#12yx*c2rO{+3ZAo(5HKz$`l3%o9Y_c_yZLR_Xfe5-fl~!<7lz6hLWBiimllUGVsofkR z;q|qfy#8=4q&cLTqH@JLRHFP$MpFPnn4;YIzT?&#|vgXntXJ(Tk@Y zt_k2wVn|p_inu_J3D)BFQZJ|`cr4Z%HI~DbgGs~pR>9R!9g7-{R++=p)mT0*@(8L` z!~!H4-`*m(Smp!}zi~0??v96HW47xNbtg=(#cb&_<15D{jn(zt0*;tVK2FL5y2F7U zT)7=CM%N+vw{3cW7`HuS5z^Zpbogc@w>}^!PK33tI~|TL^k}Q#^W8yX&6-hGmv6lB zY&UzrBA=l>tLl|oSW6Q@sno|?Hb<%`>32~?Y3$`xd^1)P<+nT>Q?8@Sz19#r}GbI1KdS{Y< zS~~Ez1z{39rBh$k;Y#l9NKlf>z2PTZxt*YtrLqV7=&0xUh@1*10gnk&Rn<3M?_{!m zjafjIM)9MI_f#}El^9XC z8Yr)Bm}ig;_N#rQd`&Rya;=I?kXQSv7lYP-r~K=9{QoKY7Vs#lD}G8ud{juHs1*@Z zd?G4ZpHztzZLM0L%PP1mMXfFJ(CV^~SUL>T zh2WS`=j?h))nP`@IybAmdI|}zobnZ^ox<-{%?O(2T_F2B!6E&hgJ|mTU2C%8xtWvi zy^#+tV9wbPbk3Nh-C(`KI?WJ4WevT))(?7p{jVLTxiu~n)LF5`=lD|znsAEPW@rHr zW{T~`GZ8LCDNml9{VZ{n2b; zc@!njw(m2%pRB9>P;B~1etSu6B0B9neFOm{A>gb zjnO#Y)kjINpCO)wXcwo$UyL&M#IR8=2u%t zRkI^=*z;5zSt5^_=n8l(HOUJ#CbEzxs3a*`93V##V8C?9)K^a@$EzKJv7x0Qq9l{4 z`NSiGCy|lFxmKjd>$WkJYA>NyBoyiwiWW@=DDNyd59QS}GEueX?N zy9j`~tqcglQ+yjG%!vf{KN+cjQxiTUJrkTTpW{0)3X{i73k2NOnMT$2GoS-c@$J3o z!A0;UZmn_RbkZ~_5y)wqCq~idG@TP^)vcarHc@1kzKtKVx;mtE56l*|1Bf; zCIX(0)=?oLV}Zsl<-Wl8%@-Q{2AKxXNK~gAcxn)AVD}&%&)!pgHA}~M-PZoC26{}S z??8HH0;3Fmg{SyV_-TkVO<;fzH?9~Ne@X#c^kqJlKOx2DlI~+pjI)x-%ssPiz6hW;JKm z#9Tgh@-s!71x2&GoU^lXTxqNLUZiWPjQikMc#6m3XCo|?0bW3i2Gqkh{)%|(f5kZ! z-`3t>wzI`$&Z)oy$dvOBkQly!(gT42Tp}EX@l%U#-A3-*na_(e7wYaiK8SlEzJXF7 zbcrcFQ?#rLaJDWi%7wF8OI6@eVIefGw%4wKB#1_Q_RW*Tefmjm*OCjzM>FN+J1+Gi zYNe3Lj&dAqGrL@jmepP@SX8W(Q(tq@l>MaoWjP#Z;=So>h{TDC2NoE2(zvB}noR|);!MYCMo@hvesA!GLyrEn$ z^dLlKUOTntW%8Yof$q<$Mo1S ztqCQlf>}ANCY65#sK}Pe#iE#8@OxhZeQpB-{cX!2DVAxjN#}@f24bM2+G6Ixp-0c+ znjcc~4rd55;Hn|b;gyj_vO3~WbXwJWGzd97#>d0HqCk@+-!NL}#a)2z2^ux0Yj1~2 z!+K~#v+>#oTmR9J&dgVZB-wov+6u!kB@p?nN04H5O*47L`2-I9OMrwQ@tc zka*}Rx5swrlO5NK1u5{!Fb^d6zzYyVA>#vXg)(&6ORv18o-Du0 zVe&L7VR`{_+?F%+0$An^MZ+dYWjHL11=9pJ|FRFs;-L?6zFAn(JovjzEX{D!SNG_1$5ENkYH9c!5kMSTs z1?1omZ>|s-{)^_c|Y}jCNfLXy5F$7|F}{{ zF@6IFL*O#{0sQw%W2{{bz=-oJRz0i!1lZ*#*~GF&yXm?<{&`N6%kMH0+*1#K#O^rs}L4qf|~dL zKoZ=`n|w4=CMh-s1~-sN@J}h>UdK6_9SeE^MDCxBq*~-%{OZS>4J1b(=d;sFC~Ax# zo;=4&0kCCsk9_pre$w9H&qdnT3o%#wnjo!Eu&kJ*M{W23HviMejuQL7{4oGz(0Jp( zGG4VlN&k(*$phdEc9>ia$~8sYEh&DNkL}g9Lv`L%DvrAxQL?r+LDkCq0+f1N80m4( z8l}JD`Q##~I^0yGPr5khiaP>JP0{U~7H%r@3$Q}a>r^7DiB{E~+V(DKTjTLdJ62^v zpHb_YR>ygx=Xku~oqth7P*t0)2X!lyK&8hr85q9V%LF9?9#QOp{t%C~|5$dt(*7ec zJbCv+-kb-mLb7P<$1jpCcg6%^u2qLQx%}@x@#nr>Jgg~{R_c>uI&|4~K`@iStiiMN zRSxliB9Ej}6?kMiXzt_FdCmQ8@)MSkiUS7Nvg4SRLs5jM*wvRNSn|*~Dw0d98cEGU z*_BXhsxnISXViSrl+@Txk1tkJ)u1ahCFRT6F)b<-mXVr3sWYPs%o%vDAU%M3@QXG3 zN%sAO9?AYq5L_q!;@9)|N;@MJQ)gNW&H+ibk8W$hmP&zc*@%JY{OD<@ptV2kU_u9U zC}*aH*UV1jW0;XD*i9TL#H@1k+?nW#VMBewRG5EVjp$bxTRhxK`r8J2?wxj#tNV%4F8jO#C+u1nOra7qszp1mj718;N%h5Y4&(Vu zCT8PIvAu<9@E4H0=}V(Fho{(spD|y$0O7Y7F}$;t*9<4>>T4Y7@r7PJl)ay30c)-u zn)?n!Y6v5nhF zFD2+Wh=9mT8_2Ge9|0ooU%Vc#ahZuV+2^+nFA`)zJ>^UUsomYz?{YHW%FNm0TxSjQ zx=`q-=f9=$V4;wz+#AnhY^8-28=Js0`e#VjWHb}QsrZ@AXh4}5Sga#OfX6H15@9Fu z{|$#ir0I1zfP)f3>6k6on0!a)6rSQ2ks$Ea2wlvKost2!?}ef#TlNzdz|9+iVl44& zt4`fM8;_@IR^k%f2IiQ(L@9C`25HNP=~d4pm2W_qe@oSCf~Bb^?Y=Z8XDg?R;h7M^ z`SqO5Wo)!(-~8n>Ei|kesNu$20FZYpziqXPOdw@WCw4v*1&h@*e%_ZLrtILGPc8cK z)^74xYoN8(AI-X-_@~DPXdXWr4$aN&96&qDqcPz|JJ{x6R>iNFOfb|1^-c?-QT(fT zWge;g1;165bwZ)g*>)g>o>NAR+6n_p8gqaYJjK80yI#ou6+qAcva$9#vd-g6Nb4r0 zmBjkzJu-q2muszWWQF^0*`vkfV=ccrA;vzA{i;Jx;AVvP44D^{)rCWsY1z}{v#&|+ zm5u>TQZ{_D_JU412pzQzQOO=n0EgeQ3%|4$f@ji1OBK=zJ(GIH&aX(-PDk0hr^joS z(RJNx0XRByyx;rd#8EHJ3%rZ{5mTT#5K(Gr2 z1me`MKzH}N!RzklkAG^G*m7}B8Zp<#q;W=Hk`UuYO!0o_6ltn~WO3)_8cDM!5Vfqj z-w*1u`5jdVW5;&F6}Qq$D2+^I&qU&IBGF8+?=TBLqnd=Kmq4o`U=LFNU9XX*bUxAA z^kr5n_?y3wJ(#nwqx7&|0A~sUUhJap&pQmSAxWxBEWvhLJe=42l7zrfGobPnKZ7dBi!QHk(t@d(OxE&n{#U(el24CSPJw7_ z8W!FBUb{&isiQ9jPw}rPbxu0K0;j3!+xT6m1(di*qyb>f@%jtAKCb2lj))Q!`(tnx zTQ~mcKrS&`X+NRbAjYfU9n9~0qwhC>fKmxV3hN{|*cZd}i0YVYNj=0%l zQU%2~dPc&<=Low5L9~0f(Qe=_!BadRsr6P5u@H3)_8oYeBv*I?QgW$i*WRK#dfcRN z_^p@(&4;dp=Li@ztvkPk?T@~U)r%zkft!j6rSkZxXo{V3U&o4h#Q zrN!*B#v`x}mgx%iT?cG%0U{eP{9^!HJ2uc%^UH{oczm?Siyhw(D&T0Rj`Pz3)Vq$# zL0a!hhjojQmE3k$Q1Z>H3$<>Ro=nrM>xj*Kd%Zxid`i ze~X{lI|DA30w)U;%A&iVCF@Sd8#aGGB}Uce>N|UM*NoJdrjVrB8-yaj9NFI?QRfB$ z+FwM;huqiWn@H=EfkeK%^`~k?=xKS(r_*sbX1xb0F{(3cL1Q=l{Vnv z74O{)<*xS}>+QLZmAm3DJ8VZ?z^wX$-UK0%77WdDWGs}se!yFqIU6=Mq_fFn^L)3P zmb=ubGb_%VkSa>m;R-`SIEp41yoI6A6??fa=jp7zJd=iU*H9u8F7*eoV_FZ}d_^au z3<$zgypR&+Bm$NjorV!}(W%k9NY7|z2i@^TR_@9ttjwLE_muv#x&@OjnR}J;Jg6ighfaw5FVIqttn}YUh{nzejG)=0j+r2IuorBe3 ztZ2Yf{1$x~as?!VfuBT0f%mmQb#d7hL^!&PhXp2cn}mpD0bNr-i*fk(eQ7}-x6w|y zZ|^OnJJW%fT@ApXBsRl)IZlQ zm`>^zaHi&!ntzz4CXq;3-e>}-v2#$}n;IA{>fAnc>0olj_j1CW*Q6(2aefeMBW8!y z!l6pU+x>nbfc>{IDQn?o(A{8%_HFCyTY4Kn*8))aE)1{wHDK-La(a7t2fFoO%Hr4kHn~=orkiI>xO#y8BZt+__|G%9}@fBPmf!O`@`4TlPmvlsgoV z_BBh^jVA$ybCTP#6Sc?9Eh9OfwPmOgSY6~#4rzU`iOqSvC2Ec8?-@YWoLr zufe9#UOV|0nNWG4;Q|?JWl=S27X(mwp>GIJ@jH}qZ>(%}yDr)l2> zGN7}kpt-6Uw<;2zAKtv^O^@8U`09ufgZ`XHiL0x2UQ7+mm)2kZACEhm3&u1}v~#Hl zKg1j=JjFGAR{%R2F~sJhOG%>rvbe0ae@_&H?%kJqx!TNzlVWCO{^X;nd@GI>ki=wL z*iuqwog2*<9W2>J>%qNP?~LxXGLr{hl5lo>V~!J^;@UIO422C4P{l|XOj$LOmxpib z!$Mk|mg$Omd<4_7S!musbG5%*de%c`kVjW!GuG4y1c%=ZZ-A$G6@3#EWzYjKaVVxz z<(>UP-bGHzDt}r}f19$)RM;QGxUkwGWxW*0JHs2 z-&g=VG0e44@BqBOB0Z|At=)r|HU#P)OibfI0N|a=t7`dJINiDG&6J;@s)ngcRz{gu z)qkTzIsXmX0jXa@${)DS7mB`F+)ea%+zCbhqY(9-UZU%KkSz(CbG92Z|Ld(KT6+VX z1`#7{+ZzV}PJ-W?p2t+>P^2@JrwwePU^mj@-e7PIPw@tGl_;j>oKPi5MX2nTBtHHP ze*+|&)A5I1j{DGCHIN(&<%Vqd{!?h4q7Oge&$adp_op+h%IQzR6uteqH>zkeT5dvT zck{qyh47)eoj67pCS`mX(q4hg4>nN@xPdz^8?CQq9T)I%Lt(1$k34tcq10mN~0)69KN_bX0 zzw>WhA%MTW9e2YtM=Wk}SqgLjSaC}@6Jf!aG_-H)1Elp)ze{QzFT@hD`x1!8WDdY1 zF-3#o)|8x1i(67yvm6Y*=F*7tfe-jjC~VQ<)+YRH1U+9cY!o^P(;>tATUj!Q#8wyc zndz6STr`|dj+yAm1!;)2N(>glA=}+Rz7GS6@D%?YNmF&rog03NQvfCbTnm2%yyoJ6 z(7(*oFeoI5oiOS114yoZcOE%>0jGw~*#B=*7&ry{|1V_}^;hciX7f=l?z6hw3b0J3 zvNt>4Ob<&2^P~{9+ys^2F+QT4yd@2oGzQ8W?UP$ij*b?lAt*19u<<3xYo@ZBDAis< zqL@>jH$J$D)IZ4E*xFLF_tq0gakG;?;C5=unJDVBKpg@OZy<5qS&|F?d`M{G9Yq1Z z6}$bjM~tb7!<&Nn8Biz_GJ+Ml;!d%}Hk&NKeWFb&fJ+P;RjpG!q}wsXW8uwBs*q~U zOb%i+s43|+rp_GlbD+o)@LT&X7V4(x%fhj%*gz^b$vLSqB!(wczDE+h23$!N;tVB~ zc^LmhlBS2j7B1pvRC>X~z|yQ@j2c?`^Vdo3&pDBKWq*wtEp)B8I_|?|ONA&m6yig8 z3-ggBr05h{@LMuL)RIM8Loo9y#P3_(LnaU=m*WJ1w_z=P34*OfC=7sh-h+`>4W3s0 z@u)VtWpvHfVZR$-}c*bl(~nPe+Aog!76oQ`kLqh_MUB0_P}bU?^U|_bmanw%Wvv(`%;{@@ePHJmj5=C0 z0m@p>!NA#$YPTq5X1wi6d1;()!7RfC&BmJYWvy-2ayf035ew9cpZW=C?Vg|TT6@m% zs9C?s<#hXuV|Id-wLa)e5)6TE$V%f6zamZJJ#NpW%@3-oUDm2!b>nQ0Yu4rm!l7&@ zw0(P1wp!xLS|1{DQ{34uZpY7W&>8!Kzc+>`($$;w(g`;vrC=Yj!&uPAOL zl_jotN?lMaMZ}-M@@X&G|EgC_a*e?|lO)v** zS2wAJ7FnhC<5(zOyFKW40(T1G@GL7u5Qhcd1f<|8-eoPA6AhyT<{NxqSU)m2p3l4O z|wHngSdpbf2NJ*S6G9KIMMhz0T?cPeL@BiwgJ@Ly*l7)>Ka z6H+p7L8A8eyaKAe!-N6^Jbt^%$P@gS5@ZYm`v&OkEM?fXW6zSdY*3E0eG%2;ZJ+rm zV%e(D=z?mw5lE03%zs-ewAcli^q7I#-dX{mx(d$K-*W7)Sv|S#*2xqKE=5!hqKlw9 zmNMIIE)&^EM?gUMKm(FewpVd#@7EHP`_L zZpzA2(AD}Cx7V-K{L*wi{62fkR}faoOxh<%%{=2Qr?MA68$p?X7tstj7c!B6wD0!; z8Sp_qb3w4&bylk<{u*6&j;yKN)KJj+7jOrKGM~_X$@XQQguM~ z5@Y;rPxn`|!Tuaw?v2=!_At-LG7kT--fnFN9NUlq;FVTBaEh#czyWEVTs4ELnZsjp zE^>+0^5+AZ%>I0OMw(IMH90MGYgj?)r1oam? z-*HNvIl6Ehfe})Hs@p|PH^SW)O^$kz_EUEE1q|!7L=xu^FoEglOE7JY->!JzLsIpC z1BO4lUJSz^g04eB5=bCcT#DELtqLH^PEg`ZTu{O{f*yhl&eT(+;YFX<*V*u5CMx#S zPYrkz7=qjNGH|yn?ScLfllcQk7o-qRYZ?3sPw_$cX$2u62tq{7(03KrpHI}P>m7cC z@T>ACIbkgy3BBv)4PlmVw!0Si* zJ;AQTPr)i9*d*?@%atg|O!swC%Ds+JCW^WbnwO@)eJU>pT%Gx;5Sb==ATk~2xE>&_ zi&ke4`X;vlHF$~-Q>siRz^1{hE#->-#XlIKH8uG2uGviuiV_Yq)u)1P`!Ku&6qsiq z_gxq0yRj;36JZ(9ERmKcuugV-s09XNllqw)7Ta&`XK{YwX0$SrZrKL9@Zh;4eHV%-d&A#o?AJ&(e}}i2wXd^K%ca|BW?U!p>ptsC z(8QojEh;PQC*$>CbG2HCb^J*6%9bWp9t;c9d^@)%@>p@vwQ=_ON9)OU>c1uv3_C}e zkd{D?+%&bQ;_m6B@*ZxRUa5S$A+4r%yr0w|e1p7!W(}}J@Ejxg`I*)mGe(E)Tlp@j zUE}pjwX0&$jx~QF^ddUe^90V8o%7;J9yM2KZ54Eo;do-0JGfRRbSo?30G2t% zW8@n_zjF|iRM2f;5f7dI!dkI)>>#L6L)1bvj)p|rmeIUfJGiqkn~uUgSD(9Gw7dks zHp{G`l4Y#;vM=GYp|R71PG3dZu5$&YwrfJ+j$K#BaS{c~F5TQ3k>*aSzro|B_gqP7 z%`WBfmM!gI1!yrGT4F%HS6(p=%1gtY<)s&_@=_vUxx##TDRTyb8N)EFyl6XFJ)~E8 zX(S9k>8x}su7Hg8J(IOl)s4UiX4y`ji4j5?8w*}2I&fYiNuD2w$JOL{YADm3JTIVo z(B-sdlSm=aE>`miiY}zv%d#o>*$8TepmiGub*0TVu0IVT(&9fkESol}87$M@bLFAFshU%`VxLi8hFRyf5ta2MwUMWzr$1fHGW3fYhvU?BOkWt zKH{ssFVI>&HXOER8`a<1kt6BF_oPKICjsK&#=YZb;=KX9y`BOW3;6OJ?%Bh@^?2M^C`Cy*bpsffjrq~`T z5@cVy$6BGKBVbG}R;@5+ULfurr+H^y@fKpqI%P5)Y6x|0@r;gH9*LUb%fg*+@G~a9 zIA=~W`kY2=B_sbKH$C>E67^jp1W{X9eY9PI&UHJ0+h-lTZ;_glu@K}L=#zbWKOr3l z14QchPmDvz)cC!Ckok9nB4kp2yO#%@GPcSBrOVtsFsamiG5|6S0FY_mO=`_9vxJu* zaSLsc0BTc!3OvPXZ)$*kHUTmM8UD|_Fz#h#;uT@qJZ!eu>BqzAMEAL}Jfjk>ZPbi= z8)KV~J)QEF*C$qBw6x$rB4rOz9tH1X4)JMxpBV%)2bSPxOs+8q)Qov(!yC&0E&(HvhPA3X$$c; zZH37JP0dQQJkh)*01&}?)P3gtR)wr!wMC#nmH{nzigozuP)pDitr!R9z~gNs`M4vv zn~c;>R9kdA!A=$(NZ0r z;0esC{E-@xIuDPT77qmMduz?*x#+slAt|-tCKnw-V4yjZo39fK(LnW3zdMf3 zOIVLtl`O7_yX34hu_6>Un;q6(`4w4zr6VhxtdePI6Czws;0`M+$Ly^sx+Me7L^BdK zy5Kfm2Jo23WxSF4cIUNlpYF8q;)!mLj%GWp3U)mSMfZ822v5n`eJNwr8d6!1NnZk) z^gqXA`ZZCpW8NR~^v(=}86CUJ3d)VNBTHNLbiYfwblrSnK`x^;(T8yn)20t@^e6lx5E^_ z#7kWP;=I{OOP^=kY%EHfZa~R-<|^@9)3Pyu42(Nlw=a5&RR4irPVvP71!QOk;CimE7T%J@yF73XZ{pkr-9J)}{eB5F`#` zgB#x_O)ESBscET{b~nG}qT_a~KrO7PR_upPHz1GjDJiBTnce}X8*~}YAqEZ6=q8(= z=ec|Af~=_atg7|H2;~KGNP+$`2&}X{AK#den_40sEGsQIkmLGn5Pf7u?$>pu!BLb9u8e!xv<5@e#~R#@l(vSu2uq?CSvUg|g+oo3{j-rYnR zR^@VXdBa~anM_app98WRoeewBBEcoN1Y~BP9V9m zm)5pn4v~kOnvc#V(`*+x2m-4Cs3;nd``$&6ReH-G&uj5TA~)i9{n*)VGOJ?|+)A(o zvr2KlmBQnUa>)>j2bRKt8IlFWKtNV$A7qt2^#;}ae-vq2qGz8Ryvt#f(^4?zy;3lItf#vk-8bvx)R&5trYs);%kRG|aT6NYGN5{h;6f zc1rU9^A9jO=2`|;p!#7}`FoU(L%0z0Xr$|wPAC4F*8|?pnwgR8-294Z370cn7m4YP zbRDC58KugdIk5HSr5Ahe-A+2jI^)ugW~m@`u1PB}2@dY^%ogmD1;1TGk*etjPJv(H zDY+beT7Do77L6GFW=9@*ot$_i9&bAS<7_BvyMartDv!sT*N5{;7 zwX@&4X!PGpMunbr`+FAuIwJPI&A1F+15e2?`03bB82uD7kgZ4h1y7Ts{dh3^>e5E?{k9jyr=_P^A6+Os&-Kd1VCrs@ymxaq^Z>c0w!IaNO#@euVo_nrDT$;Sh-ly6tl9o@Tv zaU0DBsEugUzBrCc(3V_HNjk)^5T-uL_Pw*o{$KN|zh_uiL24H2-9emf8`kVRG)@6! zj?YMIk(?gN+)|A8>F)JJ9v60Hg3m-I-M2w@4o%cTS-TwxDKfrZgA`4^GS}r={EYDx zb&!g002Q>G-aUEDwlo|5a3CS|X+atH#= zyAU_RU5NFs^6aR+mga!*(zo0t{eKNc@Yo+$Dbig1^!-oEvkup}qgl)Ax)NT)Y|8cY zHB8@u2>@*pHO|M%o8Ww`zR96Mt6t181a-h=b-QrIo01#OKv2V;B&a9e3JB_39qzZ* zGDat+nN0yg`Y9Di_kX#8HlMx{l>pZ&K?&YSl)5= zSa*U$*Cj`Rn=~VNwKpi^=%Xk}V(z)B241;$Vt!u^-GN4u@=%D!Qaho^P?13v6I5 zdG%;R?b4iWFE zqkb{1HVb#&=*cC@vxCAR)xxLo3pRWLhZ%vYsh)+c1J`9`a45;v2KhGQ`SpJ>vxy#0 z+;&}%!%WD&Z!!V*jADx+$pU@>l-jRFSh&CCUQH1`zLNs@D7C}I{ z3jU~-XGbA>2nfPcat9@hi?vkIKxlSHXAWB8mJxUN3NAUsq$O75gYndE}vfh{wT(7*nYkl@$;2K}I(j?X(L`y94I5+hdRk21?n!d;YJFOI6_ zyu_9LVf`HPbZ+iE(lVDz z#GR-cZK5Lr{+y*7QKZ-o-Q%DJrxm_)Ikgn89V0n^HqnsX7uDtF=kr*sa94ho(*L>t zQ8hAQ`KLY~&IOU8idD1WBZGUbFK_J-s4Ufl*Z>x;`tQ84sD6Y&Y;s&m30KOj+*l-N zs#_K<`~W{=>NXAPRvSz0=tsXNzV-!rYy0mqTF}@2n>Yn2vF3+}B#os(S+4rtc-)?q zi_rqpxEPxDI%-gz)6+ES(>Jy>l1QsVo{lyey3KEKBvs*P|0W;xM4qAy{2 z5$N|)je9UQ-o2ef?sgjKop-=3D~I0xWt#ZuJs4ufE{LM#G;t;+xStNP(1a7FM!90{ zv!pUevpoqf}M3?H!*_$IP6)bzqth153qt>-F8Fb+j)RX&sfZ zt8h*AJw76~-jK!{Mgnm;XR!iJ3H#dUSM*vXOu#U)wJSf|L~1|eb#%>BtO(~z*|Lkm zz_ts8!X{YO50vrLqJHO$Bt;i|r!;=nxqkgMIAgSTwlWE$((=0>jr$W|leMrm(}r@O z1fV{Ervx(e@E>QC@m@6Q_3eVX-r;V3fjymFdbqi1nqQ{@SX#6?{Q7YepQIEWW*I=f z2o{HdRWLWv1z_=p$3?B<*)_V~ftt^%lGT@o6q)WqMQra57*WXD8CbUM6;ny&OK?|D zbm5Dt6)sQOeIcaCE2oN?SLwj2fXz+RT`vGJcuJn8WE~5IqZKx6SM{}Tdi-DYTXIbx zNT&!)DBAY;XJmbmbGBg3*ML}FQ`sJnGD3TD%(R@>Wvky3cvl&`1D=v+=(|{)OZ@;f zd?RXrqAybK|k)24In&e_Q7uYn#*T0PsF9m5Y*g_LcZx}N>^(>R|Lp6 z{Z|e+t7~w3g%x%3nV|_t)pReIddI-mQVST(DL9h(GyvS5Ya|`dIWUABI};HpmhW)S zPR)?VG{vG3dm0_O#7wJ9Af4`?SO!v0*Is-H$Vfm2x<>_N<2s% zY_y?A%!y;Mj8Ps^S8muuR&Q`h_=2@rO&?72d$kqqsa(FZ>)ACCuEif~d`$06w#Zw~ zE-2_i){vIQ!RHZu^I+agP1tc0nkj&&2i*3JkT?Vny+n9Qyp$w&AORkWw^RXyZR4lY zN&SA#DxIjEXc#>x@7b4(@Eo6l)JzYK`J+F>cEJvcz$!C8dRtn5)T{!yPRT=`C9 zrf>X@xNAq0Rbp(?9)He@P0F!Qbf{fE;)AoPNVqq%Lun)9QgJS{KA+2L?Mh#3NSqdI zn?qP1SF@dG3gqKOz-KsfTpVPaJ#7*}e()WqD16Mo__siI(uHb!U6 z8+yrFCAVj3-3TGq{styi{f>*yqViQ@=+N>_DIdLQL{n{|JfB}iq9&g)gn*~y=lGe; zXUNSO;|7Lq8%rkb7|W$NpRa=;0;^jS^4^54r!k0N2l5pphHqeQG8k;*@{vXVg~975 zQYmH0v8+7+seWQ27!NJ}94=4-M*wEkozW5a1*O{Scw|(wQ}#8OSoIpeW7QkYU`{)+ZXFMNE+})5C@t#YlAXvB?^dp&#m`Q1K-V}6`84ZKGPTfxO zcY6Y4Oa6|qaHwYtT>A#8N~-I z2an%kwr+dm;2kzDnorH49Q9HmP=YYWIj$W5V7YT#u6+51v%?a1qvf)9-7Zg8-B}q? zO#u^hSI`m;KGXF&CC&*aoFRo`uC_1wgjDa#B@)rbQ7N-XDOUwrMS zxg5v$Vt5^<5a20!lfI6V59m|V5VkY5D12baE^>HDnpXrT!#P7?&9*PM>;ZC2Y5Poa zhPDEwje<$fQA^c*kaW?MS|MXkJ;yK2l!YIOnyw!+zrV)MsImY^LILV05?%I)v%1M} z-Jcn!#yWH5hq~jV_N=jUjDYs@;L|^g8 zUD}`(h?ct$K~$H2u$tnryj#vYXyOlFiJr149g6%YYg@B>_s6eRnEb864R3 zLeVe&LIN|_1%%{%g5;aNg3zWJ3*;fGVJrWQnjB>mo|5^LUN0M{;TWUKp(n5tW>j@@ ztBme$*P@4(cv2}DE`*d~dVe%tw3iRfB;uxHoV6;7FE2K1VUj_Z^1J~l3%iwo-N`8Z%v7>_c zRn=^yT>5utEL#3|J`;GX>Kb-Amn*?larsQ(Z;>7@k`@hMV_{xG))>RGbnGs&e5}(^ z-wc(1_0Z|~T#_JIu9Gx-ay&-UOhf;Ul6CAa&b*utW)<>Z&q1|kqA%~7)c!n-x^Mo( zE$69YVk6R{x}9M}>1H~v(p)a*k-^m!RPQgk1`zp+uXU6wdt!NWTBBFDfhrZ;9xX7w zK99jJslv}FkHJ?L5u+f|m~r^2he@jE;e^|s+0`M8f?E}Oj<-y9;4)%BYSKk?j*BTf9OjL5;znY11jYvP$@TYDrL)V ze?&@FpFP>*n*oItC2V&M;c;U+zuLhKs-+`l-(BO9d1UhvPUpW;yVb1!5O>h3|KJi? z5cqaqY9iLWrWQSS5Ak>&3^p{)$z*(-q^cP#--ExQ^^`6GoM!*-hog$UHcu%5oe`_KAYH;^mv_hvSL8sDZhi!>txgZJ< z6>BuZvGE|xTmC$ih%_9IWn6GkjmHrMrj@j%5YfM4TeQ?#CaN2n7=Rij7Ck}hZv>Qo zeSzO1IjLn+t7atg0Zji!BQ{Zfi~iVql~9R{}*nyQi~%07Dl z9BvWQ*?&T6RA<}Eeg{9J`hX%PVIDLVzQF1qBJp+cMC=4tTr(mr8~tH;N@|cOu)*+*>)@rJdIiZS*{vK6_Zr;HZ&}Si8U-$t^L`f%-Rinm z2g118X(?to(Kx4;MLx^=E&w#0WQ62j zj3$ZfEk3`h+$=`R4}gwJ-X4ucPA(aj@Oh<^qxGp>?d?mnl-Jd5YuefO&%z+Ox_A>wVdc=qeh`->m(@D zL?=01{yjhHlCpC%E9Uy)1}V74KCN-Xlu&_U!WW*Bm3=A0*$IJT2SQo#5nN-x$LsE_ z{5TyhcQ0s5xj@o^8PL#lY_pz?Dyf>?9bPxR#$HnGQa5fjJ4b)+%?)gj&d`W$WfP1T zUhQmf&gPpNGLdpMx7`)@L1RIeUJz-u>~Ke6zb{~M z|0;L~Vm9C@Swr8!2{%9rb%lBe;ZwugNcV6yP^StMxV22=G&>(_tz}x$44#}aw-MFK zQfN=BN$`ii`movHEBkYo*fBiDYD~udYA(tO{$NoV8bzJD{7sU-GES8I@KDy38%Ah0 z+6w-%g^(5eq0lm2{WoigmPvsqN-=`Jy_duIyDNBIt(*!+Q$jH5lcB&AiA1JZ&}bye zBHs7RRpPfc?+(uzqf2kill#A;lfulLFyW4`gOCU1+OK1UkX1%Na?GBB)`b6h`417} zwwb5CxR7Lrxf9aKizT~Mx9ge&j6pv+Sj6dsFzZUTn-bBUGPA$Vu}*rxGFS!$CurmS zkPp6}w<)!QSw1-EvIGXPYiyb89n`23f$(7j=6p>?6Fpb(bg2_YXM^+*nYT^uh^2Ff zcY3`Pm=lRud&K8{H&DV38v@wFj1lXdN&_(GB)3P&g`<@0-164rZY%;8;HqeAk6f@? zrUf=4QBwfG-qPtR(Tucj8Tze}qXi@k+;N#Y#C7QtzeE zkM=n~g{g(P54~bUn-Ll5m%VIK;ihSM7txL_Kc?{j-fv(zOi6_B)xGyvNoS3O*N@?r z3gyTAiT?KZedahHDEiy|QeGg*kX_-vBq86W8>esWxUa~%2V5jLX?>Z>-yF8={Rz3$ zth)O%Asv%`!IW$r?M@)h5_I*HILmjzW8Sf!_wC2G~D7oT?#N&15 zyE1yjf}-sNnoNKitOfIDQ8n&k+hYnGj6GPQe#x*2@q~2jvQQ?5K7ik4!`)H4GaVj=#cJH{Kpemt4H+Tk@JpWpg7B1V>Pr}qSq27s-#4!k-ItdoeP%Y( zL0%zw*fSHk(lWj|tIYJ)y1a3k2#9K;jZnZ0Ipg!+d-DQZy-d{b0N$B@lB|Bm5w=z> zCurwD%5wSKZxC0w?$i92S?3JIVL%g}l7F0O@%&K2cVHn*v28XNVwed~Y|yraxuKgN zH+0E#a`IAMv28wWDz>w<(5V|2j21ldM{p!kG|JDPlLC zqtr77|C7>Y&H*qOb~F4G271+s${Ov@)Y1)ViPp6KT=+ z0cQ1mr(@dFZaStxPtL|TBHLO1C)SrHWNP$#smpgN*hqRR9JxE;o~Ddmt{yu`@_xKC zi@%|j&-ZTY%_}%@I)=ZHRhlxL)V`Q<=aRKQi>k4}Dz8labbIoH8q3;_8twoSk`Jua zTB;T_Vj)bA@;6U_s@0z0RqgZ217?}Ym-E{RFeLd?AO%m!hkZ!`BGa7Fz3s70r0oe; zB2m8caTU*t3CGB;u6HE@>aIs4V!9zUW_CUvv^_R{%eI?ru%sFWBnd{DX|IQLcs+SJ z+A%q!9qRCq9PLK25#UNJYDLJ;aZK1@t=KZVU>&o@gy180!r+qQck)d5Ho4hkf-G~_ z;$im)W5Uk9Bq5}V8i|^vmz^RtLp?cPXU$M3efCxy6L(xeY^`lqxzWER$x%W{nT|k&_hV_D{m~BRb%Dx!(HB9jq%Ztz)hgR z;QnSH1W(CFNU^s*mI{OV7w?AYPRl%ro&B2M@yT(IwZ&?-LMCr&l%Xdd!lmRJtO4{KkwaDnI_oL2d>_)oX zKTZ?!7y!&`JN1a`@r)eDat;?b(J zvQ^Yd(g|0eyMP--8A-(m%T0Wi6yUdKJl<=r6Jz)L;CFaR_Tgug$KW|2WI#7Ou%R1I zlS%cbIq1fm+L=Zrv;*?aPUr?(`G1fY`X-bU)DCBjrmV8#BsU&1P}QFTfaOOgQg>OY zR^{=uG-+o-rv6A)7p<5R^(_|O;VJo)5_ZI#fI(1Ayv-QE+l=AGt@5qbkT5N{^RGF? z+eE@ToqHRr`Q+(bJX`q>7c}tBSa3kj`OS!E_rfI9u8uAbeydvuU|!Gdw_eX3AGn~^ zfXc7j4!6|ra6skfS4F5&f?MiczF`)ANBOP)A?KqLC_J2yU!6<`vg#gr=+7VWypQuSbn&>D*~5x!pN1{7o%#&{^yCVl*>xo;!0T|p|dx^f#-^3RDe66ZVO z0SA)iMwOwn&9sP&bj|qVHux2uk|ch{v`7dBXsbFj4#TL=$`AItT_-BHtto`kt(DsX zDG%pLpj0u7nL-M%P{aSEtHl;yBaGShF=QNee9T*@%2llO(&M?rI$(%_Vcygciz0Vu zDQpR*BrLt2)QpbDrJ7OUjJxKBcmk`7*5pA!klww^xWZuwo-9W4GfI-V$RMeh!Js+F zU28{@I>#kP@vj@wq2}ek&BrnEql5~!lp@KAKnk9c|Mp!a)c!=nH`#XHDYD~yUbEHB zrg7E+*_Y5Sxx5(u@qm6s7qyJ&mpt-`+dE&lXq_76Mz`YN1tt%lTW{j!!2p^+wde*? zeS5+qRo^5QEVDAbew4>8EWRe99jcNKB~o^Ol^5u;;Oi)*%a}s-ffzD^ucZ&pBQ+0l z+xANJpUmJZpUPWlJM>f-i;wkPC0J-=GVI!cf05*;L64N&t%nlw&VaK?O%nwxkrRRu z0Jl<7|37~WfDJJgFmM|RClmSONTgTDeF8tTMIIMPRlv6V>tsis`_3nn7s7gk)McO# z1gudwD-N=Kb#zmiD13nw=@H-rHiK0!m;zWwJR{%NGmkwHnS9NDkj@}>mXgW;|hSt*+&CKfyLrq_I(qm9MPyk9eEovP)po?_4wj~#8;t4 zx!qpr*xMnIR-qCJy9#v$&}IiYO=&Z6fHQLTxtaO&J52d2+%gt+H?%(z$@*Se<<)yt zp>*}kRj7=$T1#-D8Yq}msN1m$b-P1D?zz1ylYuJK&+{o-g%VY+3WfXnG*E=6eThZm<20a`A zilc(E@~>Eh`m4iMRIReAQ0_BVp%e!}Lj?(D73wmmLS5FY3bj6*&ZHI|)LnjBg;F)U z3dOsIStJXahGywe_!XX#Q}`K`a0p^V0EmSkxd6k9@BR}R_qMAwcuAy?yYH=l7qL=C zQ(4CY%}QaG_md~w0eXK{M7ZBBawFr}DgO#d_ZIcA!irEspa?a@8S85TD?;V%>JD%2 z|92*mk&s!0`VJPM`twpUXY*wZ;Sdy|{^H8}FxD4Ed!QZKQ6|t|Q^HK3VGE&po;G6r z#-nhP|89mo&sH*3MGIX{;QuKL7%a}pMPc(rlYo7r)-ZPxyd!^=G2FLT- z%HGYb@|)h&U@nq@7%ct~x#+35FmhRV;w2Ay5T?uEc#lW3-qWv>6s)o-CPXcNolnnv zOX>ECpdtmMjP}Jv0LQzS3-h+<(<718W;L0^GFl;~OtXcShvOA`uNLa-vkc94g5-Yw zRYGWwYa!oDpQmz;q@Wa2tb`jLf`@<(JSD2NN^6h6lf*RA<$#?5cz*S7xK&1X|5edD zt8ewj2{t7wO4dP?ZP01QBB``0rZ3qj!j+LCw?QXr_m~?5r2)$t^O8!CnklJM;8%D` zH2CR|R2A$7l+~X5f_Od;dNZxtv$OKm`*b_RllK+WNewAKTsIE4kU#?hodVyY|0EFX z+I-53(OBgHl1te^<;}n*riOHUA6j1)RN}lOKnMR|YSFfZfQ?$@izj+^E)p{fK}d`- zhO4D=Upy7vwNO*$!UO}jKg-7Op90dR<6TNA(^FzuT$m(@!rKw7d>e3}MQz zwFxLErn)HYkMzt zzn+bR!dswA85;KNkr8-yOsOnA3n^2cSW*e_umT)Q$bKJ5kQ1K;^3sVzg~)`Xp9Vbg zcFz}HjCY! zU_G`&>VA(mr?j?ZW4>4{5rhphAtO8PX%OHnVwkr4J2>B|^{G`6eU`U=T%5S9GV5A+ zPZ`jMr}RAYJ={^$Gg%t?f7A_^RPXNQaf9=_Z^DGpLb)T6b6W{1boQB8oKNZXVzHD8%;3wzdrMVdxt8B;TT+eUmcg-LuIIGNp(~z*^#)wTBda*z&=L}H*q6C^6S@fih7H@DXJw`mrahl zD1t6y6Xj}FrGt8}67EyM%c$ppTKg9u=>Eki>R0OG3_&M*93V%gBL*WiQ%A66V{~Zg zh!D6GX-q;-En0gmajm=37h6AEEx>m$5p)e-x^k`ShG`MJkId`NbAw+|8JP}kX$cYq zHW+8Q4qghyHdQySYg*Y45Oj_y`PE;sJ0#sfy9F3k10!fCVWus?U;%JmrMq?N1HjPy z+Z$K%JCtm$yVXlCBVxNH30eDwCM5U*tP7sf3#}Dey9FT#!dtks?3G@IpN%k$ANtC=QA$03t430-r}b1*^mJ=f{TbDCYC-(ps+CDS)f`g+ zc7^r*eBAxEH7*HLMvT=%kuVi*PIRIaDhmL55pI!=<^7%QErn<>-@Y{nbFLgyjnk^- zjiNx70WEk+FNdG@27*39F4Ppp=7i zfxsbJ^f6Ss|4n>yPxZf*3>UoDd^h3scU6BZMZzh-IJ*3Bqifili#meiz!j9XLo8qh zvsqQ%TTeRh!o3bblHB_n+ynz+&iX@Y!>N%?7p`=;xnkrh9g+lSVe>A$ZMinmmSg5$ zzTNAQk0AD<{kzKw*+|#&?N1PYF4@+tWeZkvhu23V9L#{z0Wea*xQJO=I%hknnWOP0 zq;6RPZBnH?8!8T#O+w(q4}lOorB_mdy*N-X%>J$SllYwvdc!~eoi^!?aqfhUa?NJ$ zXkx)m#7+f@OoXpOilzvUhhO0-y&6AbA{>I)TERB}{O2X&I^p#}7I)bc^Q*;B$I;*A0~n?Vg6+EDwlOYWOGz__kSeu^ zQiO!JpIS<~|HLnJ;SjiJF%|viWP&lD7PU{=i$IZa^t!%_g?S`lsCv9m^y@<~);Z;G z)+H@EVP2=7Rz0k2Z#G@vQl=bgqx5=nm4@6>;nK|OOO_H+L-(LBAXis5;>GNZj>=3`UCPXWXO;p$VIO%MKEN`rJSWM97PHGvJlH;h73}ioaMk%_= z;R%rAW(KbGHtQQ8&&}$PsAOn0BV4g!6RBFkv~m*CtM17?x0-gzmAAV%kSWZixVSqX zL-;K`c%rTg=02ls%D;QRTaK4E|7TfPDKzv9fh)Fc*X=5f$#+`s;Fzq3(Ca=4XYzx~ zh*bLlA2RjS%b1aY>q}fdVkO|vJTb|;%VdUeQa~kvDV<9-@-G5Jp1DwONPb6E<@NUw zyPGMlhqQ_7#s)oDf5<8j_?xw@1Q2G{<8EuM7C*4Ai4Gz76>gYj_Z+Y8sxN2L>;k#_ zELVc}-0newrYM)euke)K3qLJU4#BvY^u4h57mBuBMO(7H1yAV@ zC{a${2A(^PuCm`Z#50fxgY&BE>~Fnvc7O%u$i~u^e}Y_KhXRTAG0K9Gtl78qBGURj zH<4N|Q3{^{AIuvhH@Fj-)*)$e3*I1^_gO`AJQPe(V7?EyOIs+}!ZDX@a2FyEyvA5H z#_kMX86)1>7>DIJSo5TbaA2QOa=c4nb|?FjUVgJJaf1 zWI}3>6-P^I)Xt=1M#T+;;3-8>v$Z_PE@aa6vi@MyS_gT35A|y~KV@_>Pbg|E`;4rf z?3na)`t6e+yW5C-5ix+m7)K zk`&a}_!DlN=@Tjxtf^Wlp!+mXgQpZZ(}%7o7=h)sEpQ2#ln->aZB0k#m)E>SuX{2w zEiVga3E7DMWC+GJ;5`~qHDjYhQ7N-Ch`tQnRWySJk_d0(!CSz($;fYmdf~CGkQkW@ z`e@?9QgYB9Y>V#+j#Wxk(ixsY=+CuRbs;hr|C-FQs*4t3?Mn-ZyewRNFGRQ&i zvS}`(3_ca5gFj4?1CQXjWLt_--)l<&m}}f=vhSOxiSCABB&S{2Uu0y{zTUMZ;BPwp zOe9ne*|fY5`cYZ5js5{+`%_4^ml8*9<6ygBjPM$|@e=^#eZnd6=WCy1fIL4Z;;6(~ zncx{DhHsz>h02VGO+-_R%5EdB@pt7LDql^953kjAO^0`14CCZiy z1_Hu>2#w&D{s$&tAq2f99u4PKd}@p?V7Wk5%qo@|L4quyGr?M=WyHXJ7R7<}!~9{?}O%g_fz}y{8v5`NM2)uOkT%96XYU6Z#V{ z+Z&LQ%VPhBuPcFXqT2eA3Z(2bpdhO#?uZ+rqJj#F;D(q+mJmb~AG_}(M1hd1Wl<}j zmc?gTs68+_}rS z+qwVa%suv{V6doEEc7~#C_npR)x}7Js-~fk%?`@TvAcDpu&*^gO|0q`35Sms=_7uy z=!S7a+98h{uo9wjf-7efCzT9)Ty&eea?5{(iuD4}I8?0hIgT#$437t)0ASQ$1#)E9 z^PZF|c(EcO3eZ(Ld=9TXWkhB^w(wyh;xop;X{dHEY-shf(DwI0X^*d*GxTkD`hmPz8=P2@6G;!WZ`l2sJxwV6NC-qrKS=uG&m4lhDv~!|V?Gd_vzNjtO=iQ+0#|vgk8%-v?;?xWeN8#WXuAH}tw2a&&KFzS* zwGwLD8iJl0ohum#woc%{Pw8~iQID+NazP|h9OG#Ei0u&@3BQAJL~#)I2iU-&zRkCN zJyqDTOqJqs(H@RSG`M4l-+($h>4_>UOz;AEX#Foa-7u%2>mA_r4OA$!l6DcHOFUH3 zH|U*g?R3UM#$$5V;&CeOUr`{_72}CORbK^Wn_*Iy4>X%5RJGO%(LhVo{?h)M_bsEp z!rwd`#r?H}NkuQL-n*PoKbg>B`DPHcylUnqp_n@=R_J7FxFMCT5e}q306}o&OuU-F z6ewJ<*l2Y|XbXo?dicHLg&Y0|J;7}9$Ia{RaoLO)4;n&M^I!-7Uhkkc+fnf<_@OM$ zNB3U&hy9@tP+u6suJN9&PX!I}>a}+)j=E<=7Iw=u$B~pL>e()ze(eAWVq>XEa)MM{ zatsU@QFCv}Jug%ktQJdkg&`I7)@{u-Q!h3OB~Lo7?5CnVJ*zR3iCmTLMw2k>#C@W^-zDYrE5f^u`vwAg^8ENP*?-|H*lvssiaW zd?=XRtUoCPN>1DSsghGk*X)4nWGKj+-8jQx&g_P%k!dJZT5n=~qZ#9v8cE`M6Ot%{ z+CSVL=3(tP+sm5nA+K9354M|L3T%bmbXawU2sZ_A!~s{%bd-rN!eLD-lF>2hq^0tb zR}i-gLa0pG=0U4CDPKGbKNkccdSz=wM5-oz+yKskpa*lVMYh67VZRF|gJKel`^&fl z1y_!Ryo>AGfgIe4l+ySSz^j)!c0j#=IO^QFl4L|Cn#b`T8;iYHUl#OQWW9HY_G6*N zmqthD_F;3&ITm3m=?Y;kkUtAN;!MxK(Hg{IyDt`#C9Agy-bcw&&a>t?1LIiE;|5oX zy6`^ko+_LyS1Ir_;^U5#GkCI8B)mwXT}6h6`j&O$Gf^PqIn8+KfPh_m3fs--J6{vt zelS?NYna^;7~4G%;5}73JhFjLcaX@svROnT)8OCYY$IYPn*!VCcNYq7SNGw2rnw^S zx`Hf&0bM_OQelTY7Q?=leYLfIBEd7G8_!(880Qo4Q~8NnYEda08JGe}1;DW*PQKyF znSC{Z#F_;R?z&GCj6IFzr9+(QfbnLlC>PtQyFHQd#?miMIC=KQqB9hOM%)--S!$Cq zfYxM^0bVeJte!nqQUi})yP>%Iy^CX0d)h2L?r=N4s>GhPgP1#Y16cn)?W}d#MSv3tagc! zy5-{&0`9@3)4(bN9oOOxcMGP{W4jik1Le7gjW&O}VE;OxEG$~3qAaZY?{L9jlDCA> zFrR6b52X~KdSnIj5yp}FO{_d%72ehg3wifKA-->+zI@+jIE&u@sYTofHIxkKkLOqS-y*MvsDmNx&`8)#Gk!~imxW4fk&R1_!GXu$D1o;8cQ6q*I~oN^w@mNZL0 zxP>ccK7_JnL2@LI<1xr%*rfQjh2UGTo?8Y?KV2@_*Z55;+8OmS;KwLW*5{-Zgp<^w zKJN~2NO}i151zfD#NkedYJapD;(k1SmQuO%QZEC3Ld0_V3U=ekkwxg_?gxjY51Fm; znI#WIlJ>d{_X%dQpP-C$QZ8jlqcLPRQ!$@P$(5&OFsY7F8@#-ZV1qZeBiE}%%ly*m zv!GGwjd7gAYIgzse6o{(^L4m#KEpUxyWOpz-=y2#9S(FAs;j!{ZN5WYd`^Xzc&t>X7ja+#976rUQ14mQJg+R6AGL>8 z=tfzIrxUNJ2*qxK&7T$#p(+Ig_Q$w_^f;{cd<}+rMQSZs>}7^}hPXVeQs?&PC=G;R z(`3p10^?Y2NHC2gdsEcy-Du6|Ri&VnP%^NGdETZ1rxg+!n#AMj8zS)4ns1w*~&`gkXE$rLaXD^mhD3?5}wg z(>YV;Ca< zz<~&xz$`;)e8z%-bc~!^7;;<`V%dV|IoBXHTV^`0>}n7L$&;yM7#GMBfbUMy~KY=A~L4;&J>+| z@4gpy#rNH-?jKy}L%U@*SI&o;N-=n+%Z`GaD5aGefg2~Sc@#l|TAm6EIKG+l1j zHZp3M`xxw<&E*yc(p|{nKn+Y`EtccB*tljAFxM)3thjd91!TgNQ!J-hR%4(UeJPbY zg?<2M%4m(06wn~l780HAQM>O|CuRx7C)CZn@PyQz1mdRsy@|c1y$LEK)?U|UN&{O^ zT>2f8)%646)=v%o@C(^K!)?}JLSEiRg08#TS2^&g z4=CsJ_XEq_gDU0h6_emm!TWJYL=%&=!!6GfQQ@IW2>vJss*3DPaT}?~tRcms zIZst&8#rk&-h_pk8LhA?PVFS9LXP&aBj3qrp#e(OME1ev^{A}e1PS565L%;|0zN{EsLj}0t%^0*%VK4!sV6jfu0`#|DEkOWtbGc&1RM-d@2?rjyX z3A-v2A?L1b(UgDJ4}_srvH-~srjoHfWX-T<19A}NKdQeR_LeWd(JJ^SZEkG9MZ-Ps z{h!GnJGI0V_C@7P{{`O7X6G*XYp|t|`93K0Bz2i|{zXu~buX&b?~&8nBp#r6+@x^K z&%ZGi3D3Yqt%Rs};8Q2Klt@=K z9?($qG|sY97lopjEf_62>l6J&Cu~*`I}nAI*x^+URbxeGD_Lc7g5|0Ki|<}~ta@7q zF!0&cL7fLj_N^yqQ~+?UUHqR$bNwCo z_|@juY3{kM)jii~UT-||I^6%ht|R<3yyv^eRt?4eouTiP&;YHE?(uh{D;)YR4&92E z-ShsvvpDqK5}Kw2&|_37x$oVAMI8Db4xN$i`!~I^g+uqa7W#p|I&S39_j2frbU$?8 z+4UT{XC_*m?p{+j9N^IRWun#j{qV*Kc^vwF2`%ThT6XXA58lI}AILNu9faSu1~jd=w8=CKOP(W2#0={LuZublRew=b+9*=?hJI_$tUcCaL1(1<)w@4_okOFc0*6-T_s|K0MssMKx-03qrQFqBA1dO z*Fu~A%$~@haY2FmN_9%!xZ{_@9C~ObTHVsdyt9Pwcd%3cN7-+#AH(OMotePV*k|A-dXoPUk8cdmyFIx_rJ~z;OihU z>ylHFfu3-?wunnNE+GG7$xnQ{l27+YE>ALQ?4-2|w{fzGb(WlNK&x|b^63#wp~ zLqqAP(bM13^1am9Yo*&#T-l#X_gfq~qjcUoIiD}fx4D#Lpl96r8ea#A&5vBGGSD-} zcIA7LcR1M@b#T^y&vfO|{jY1Gt$(at#-Yb^=!}%uZW+(l!3mjYb$;i)`7mDxaUQL- zh78#s{5Pu&my$`G?2NDc@PE4wbLh#O?2MAn?>6Tghn~X8&Pd6eF)#3SaB3!6{grc9 zx8v*JyO}9b>)3fGPVp)EcP3gb`{SF}-ovH)|G1Q7x$5$<9d0g08#x93+MtvaZTNFC0B5k4rZ(&5+R<>0Y#I0AB}*xrMsz zsB`f1<2fBU*~Ab-jaHY=mtAW3Iym!MUs-5c!>44{wa|-KzV!%~5@HxnHBt^UfdMs4FqGkMoa_kUK*d5=r?2b}DTvi!QHe*uU7kV8XR zs?)u^a}!?2ew2w;r+bC5im!wD96BQ);n$x--gh(@oZ8TuQ#=WM`D+cf+6A%%KZ8 z*%|2X|I>ia@5P+#jFfCXQu!JudkH5y16|hs%Z(hGY#Ga1Gb1JC!$$LUkZcLdtsw)w z<*Qq?oNThu`%kieIQ&0ef0G?q8J&@CPrGl&at&}@+2eO70aF( z$jK%fqH;pMbx!)-VI$mifn4xN$i9}NY39b9!S^iNA)e~3#7 z*{l4gbZ-xIE8@_rCD~WiCp&LA!Pk@ja%f1mT5Img{pLI;yEqfAZfTWECY|NbYp(T` zyQ?1KTQS)QluI7+Tb+_$Zn%z53EAFLqtz+-RljW&my-3@O39wZ`FtJx_F7-L*Z&G% z2kn_?b-MSr?a22e4lX5ft)eUO2VOhD=g9_6b_V)j;i}iUuPouv8FlctLvJ7E(9TS> zI^BobJaB+RyRMaP|4{KFhu+A^&PaFFm)m$9yD1Z`PD$W*8{dk*BtLMnGtzzHpl>%P+kGwcAFXG<$DutOIwRdDhdkAaLvOuSx=(%H zd?JUg$V98#{OJQn_*U%Y(D0S&JUQEHWk*i7k4tw(p8WaBsQWndHcocNSB4kelFy-k z%tWhG64@V{#i4(?R!VAHdiYknos*rB?&!<2`Ce+rwa~GJPw?s9$)zOYE6?w5$k)ML zoa_wr-z^Rna9>%;p)=Bb;iVNXaOmCFLSI~9+`*xL&P1#8JHD?Y-->^c(DLe*8l7l< zR?Er$m6M(Em6u-J%-6v^96IAGFMl@sdQSG zY)H3Sw(!C)d>uTHiB`)_7cAj(@F0iI_)2k)aVwXS->!jfbX~I!#T@!~2`!h7DkY5? z3_i}c%R`)OzH}O8ed^%riJz0rr@PVhzrM%kU==4j1Kp@;pOaj=1J{z>cust!}DqGi7biK6`` zOLftnw8LhKZ8VXiq{LhCTbuj3Jkgqh!P5-}I4#6GFcuk;wdw}&DYEtk&Z>KEz$7tLnJw|gXrPuZId?{OorBg0oj@{NIi$DZ(@xCO zWU9g&0L+Y7NM|J(u{;3+;L0IgQdhKABdjuDt%T<}#$82tixV+;!BqW#< ztP6>jtFy|G9t+K6h&*CS6^)T&uG=?m6E^>(Hc&eCbZt~DUw$wU3ZccXM^tS4(7@zL zNFQ7|6%f|5h(Su~ky)C@ghqYK8_yhXZqz4;CyHf`nv^Si^&{Y<+mj1|B+%^ulP5U7 zGXjn%zZFbGOGia#%C^0w7E3VbE;TrkVB2don-x+)Jl6R%qztYc(mj$wkgayW3?@m> zEi79I?y?rCzr64fW{hnVdMoDL4}rvIKq6c@+aM&ybeNH?pu!;UAu)HY%(DvlAL_+K zS-vgotInSpH{+plnN2C0b|~)WFe3+mAh>c!Kg-t?I8u*(;lOt8@Tb7g@u|vo&Re(E z=RR823!J=R>4d!sLkCvuejpUCoSz^hc2TUr;UJ5|&`|}>v8s(FMc?~?p(7B?VCe8f zR16);aUjxR^EnCCneu-gy!5vqCPb@8jJxN8p;G<{^o>^x-h*Af>!S)qmQPkB8`lS4z!{vZ{u95VXg3jr*~Je0uEk$2ZdVeVc0shKDvIX7EP zJbUE}AQP?}GDhKL!l`eGkm5k@Mxn}Jv;kcKLSar)L zVa;vedTr*qTOCQoGw`{^s|{vzZ830BxX?b)ChF(Ovujs~MAmb^J0ap$gh-H4YJLRCAp9{QR7Q562w&%K_fi3tZG$us3 zw6S)Aq9w|fjMXv)dc9~w4o4}L19#DKwX!Yh%g)dn^(s5qM?m6WkO)@}8PD+&8)thb z6QkWnrU>!A?+Xsgso3zxCy>Jh5)o=$qe$4`f8H3JghZ%Lw|vR~^&?9x8T|28Gv+4! zYvm=oaD_RwC(dx^jVSd+LOTYG@)IIdw^O#Vej6mRib)2Dd}4v_5_wn9?^R2ou)SXJ z6y`YGxrI&r;H81{dlg&ITjB=9y3U3LWE3e43s}O*Sh1@#EU<%ZYnYnmuDa=6q4*|M zfsOM=9pQBC600?c$@bVIDoV;n;VrBpkntp+a4_q%8dMx zx2Ms!qL<}Qr=xt&=AH&yqHJj&(f6c$stMcf5O^b8Ido9UnRY|g(1FvCZT*B@1N499g|HPZZ_J8uWXOq!J8Gcygvdj%IKyelJA^lU3&s`?9Kf6 z(`b}>G;6>wbEF)D6h8-I;L15#U!WsJJw7aJ2jpt)`5toXZE&QzCVyB`AEbv(T}pqp zQB|bXL~KSzxROY{0_19~;ZT2$)vT|s$w`I7bv3Q6AuHFTdF*y&{H?Cx$53v@nVBHQ zks1!i#_*tNBuQ!R=NC2+A`1%DXJ$^NdsIjVP2+k|Iq8UJW>{ZbgYwkX2FKy_7{R6V zEFqm%cNfE4U&TaUb?vg50U?3sOkGihhzp07tdfU_RBq@1oV@87&}v3ztqT1mtU2LN z*hZ{5?uf<8i)#cE9*1Ov65!_0x2+ zH^j{&@M#2Xikrtg5Xh9s2^1(P5jO7mAI32y5{7f8E=oY#W%pjev~RC1SiW~}MP$Zd z_?DUny)wZLO;s@=cG;SiBw!W>W$pP`93YcPMHVAAyE0XJ}LOYD_rhYNw&$e@sl zjc})CB+d=Dj>i5f_U)gZ^!H2i23bs}V(Zt5sqfCMx9St3d?p-QBvXGU>%RcZ`=j(^ zdTKrJm~6-$rJft@S%BRZFuNK|M!ChDQ)T!mB9Ub{^v^)3NXgF*yb6Re24g5%rFT2@ zRYT)=m`9$sw!t23O7*DVdPDjLY3Y&-b_MA#?^txrg6ynmXNSGZ7|YM&X){Iu%=ftQD;Ko|O}c z0wr1pr$kG!`*~sCP>a#C=Y^#A1k?e-k2=EppvCXlJHX*BLq}Bsg(nxQFPjzqnVHOn zkekvmtHE&0YOqR2k1Jflj#-7w^7veRxFd|xB=x{FTm<8~l3cj%dh||=hhc$K=ib+Z zHg}4STVHkWF4^~$H*6le(`Hsk8S;a*?uf5J12|pkDEss`qcyhoMYGeLo>bN*Zc+|= ztb+HjL3}jxJ=X&%D9!b}mw~GJRexNpne$50p&Gvylo*V*+WePNb}zan@LSCaDMB1% zv+5ipvNIH&J4Wl?svm_lzgqR4H9OosLve+ToOe^s(?n7(RmX?bnMpk_rI;>Akemsp z=#i;yy|)Xy9^nr%?kja9)76X5#sq5xaFKq`dq%rIG0d9MVR`OAal;v3#bVAi*mkirpBxA$?cBQnW1z!VH2Rje-> zmJf>Itci70aVf8%%}_ob7~`eVWcILV>4dGqlBN96rJX?5xdH$gCN1RhvUm%=H zCZo_rjdlr38gWCHQJafA_GrzOm9qoJARI1Ax!j5yOB`abhMlON4dCI{gN&I#fPHS0 zuq);d1!nH3b)=Gn;O_1<2jfQnUz*|r(*>hRIfsjR%etXU^@RdIO2kWM5S}fkHwjx$ zk5F|(@1N|9!_j%bZKt=$L` zeGJ6Mp%W;9xOoPvPGbF3!YD+vkz(5R6HLLl724v1(iF^W0X{ofA|A*!fh4$eL?G$T zFf&R24n3uh&cRk?32U0`{hqarViCE24{bOh+9JzEvXY!@^Xtj1X-_*NEZCLoXfoKE z@XbA3;`Pd$POac|QU|2FPW}LS+y`Wj#H|rhw3Qf+9zs^LV4A~ zn9qyufeZ$tJP5$?l(c}NYd~bPP80@cum(V>jVjOoPe_~znu2AClc{v5?zoxq4J!*M zNl$W|G_!7qN`cADlG7_^4~|pmQO2_d(7I8BdRlPg^Z=sBgZCTbYUU4IpOl)0?)v&N zq5F~6KUNuGMqtt>EF;#AN;wq|L01-U%nc@{6pxJ9?YNX?O9(Q5iHe#?*HFp?TInDY zaNQXy-N>x%i)IUjc}7w27TO|W&C=O|Uyp+cB@=+`Tc&v02?>_QfVxInj)5ToQw z>wzbMrM#-IIunLf{ZJbgXGB(>3y70|H`fp*QCB+n0k6i8$5XF4?(OI{T}hOn645+arE;g ztsZRcRql#SF|F)vg*FHiq_q!Pyxwp{)!vaI{U%LdZ*DMTmM2HpB}~xu(_N-IjeE;mX0OQ4iI85Tg;x=K&NP^eagb~lzCkWPnl{$sB0l5 zkTwi5kc>$@WxKx@_LQo%aTJ`_Me1CG5;mmOb9mhHd46>+wv-d8%0=SCZ)DbeT?PHa z-9wJIpG%s0-E0VP{xZ_;sEQ*66Df7>x>j5+LL#9(q)4B6{HfQ3GlPwO=c)eaHB{mn z^8KY3Do#F;b`#Q4gP=GKQ+4jT*7Xw#v`b;SSh8LVQ{im&*B8bmpMXY#Md3xqhAXYN z>T<3okhlr~$5R~zeUF@GS-W4u>q^els=CzJF?RDNw0qc}G!P+FOpX2OE zJY)N}U>i~Q&SIylr80TJ9SBz8+TtsquBm2qFs^b)En@!a^TLAF+^{k?{W#k*G9+Y8 zTn8eVy13zLBHr}93)DHHHIvB0-nv(Wzx6iuaMq45PGh8;)f<2?OsAxBAa;&FoCnr~Ka>z2^ zBw8qz*Y+=AZd-1yRTX87rO`GL+&lBtFL|C9zwjsBe#_M#(Uqd`zAth!gc ziTroAc0<~g&q?=I&h8ar`{MziVceHa9*ypeoBVvQ=ydz!;T;wOt1=zrkCU4}=qM-k zF?BWvd`v}i)a85mbT_uaB&d>!8s#Mr1(&X4eUUI2b0YUH_`LWHoId_8Sj^Qce~+Y< zk-@pb1&NTkw&=GAc*%y5foSwAo`X@juoCVhWdfG3v?ZNF%0k#>oBxhbFv%S9mlceU zMrsP)*89yo6?rsim$}myg3Q-I7F@c{a*E|z15}GKk@ShXFT=*@zRT)N7H`LO5*1cuMc4Wwf!PMB&PQfV9rc=Ec{5RZW_vhYH4TPhIRA&$JeZz9XApBH zRof$~ZD-xhL?&yNf8xk1Oj*Y&7xfhi`>Iv!Ax$jot6R9xV#8&jXi{Z5fy(eH5Cxa6 zTm59hh$H$W8SZas2hQl)tECnRY*uE7^Bi$ZaoZsF2($GEPN;tm6Go|9%shxtQ<5d}k3 zBaC4M?Im9sfq?C*rYXAHP#DxQm5PR`Gi6Y;CU2+*9LXj%eNTx_}Y6OPwCCqDE2VAP@zY?hYc7 zTLlAB9_Yfs+QmOjXcQ`Mu_cU?^=?2?mCYW-tCSL5u%wcnk+ zU6I&i&u8O-BAu)%C3$|TS3n?Ky1VNOhPB2pN$ilk1u#c98a?@+yOUM<3kC3dFcAmM z;KnY!x2rdtn&fK{iU)923H)}+BeoaY_^M_{L8LvtuV zHASAvt9FER_Yt|QHbW-|+sdva(ZuuHgN@pP_S`~S-FE@XB6l=o)ys=Qd5}={`S)`I zA&aZyxwu3QIt$(f*P%-$rKfDKu{Oek4y3V57fhr=fz zSLn7%=|EE=Qu0+g4C{Dx-~nD%5}3KH7C($~GqiATjAON!(3jGM=q}4fgWI{$DlL4u zu&bnngM!Fw;Q=56E*%c-GAb_)6QPKJ8$J&_`sH`_2U7W+?8(`d{EopOR$ZBC)aACz zBU;Q}HdlX?2xT=FDjNEeAWNi`hwl-N-J{OiI!kTDSzgx2;xEOynbRqcOE4dp#K+`h zLPKNAS`H`C!vl5GgcmxQD++r!lesUp4B9vwi?Bdxzfw-fdZUvvZ$CFaTviA8}^+vgXNTi8$P>a9kUax zV|J>uqi86zjxn2*jRVXIw&aF0^bCPeVs6NaFi2#d!d26hBdJhj;2=0S@!k-PA#%OW^px)d<@ryslj2j7OZV=aNiTQ&EWrhSS>Y4ser zA9*J?4!Ax$M%TCwE}JD3eUM0aifl2Tp~xy)^eWv6-3jcbE5wATl$ox>?8oxOOqX0> z+r84dYD;i|-IAaE?fb@I_th*pl=O?`xX+YM$$aZ5RoYt7VRclbLd6E_O1gtyxonwG zv|Nb$%ZmQvi`K;6vRH9LmaZIK-aI(sO_mpoHHrpgB6oV6vfYnrpGk+~n2g2xrf+3lQp3X5j~J(Hh{spT~B5*Mj2*T)d9oo+B)@!;PTEkC20@r zGd*Xpk$on2)UMdD-~fhY2C9$@$2M=i z5eP~jOoDxnK{o|d=E0wY1vOli7`1tRBo?c=Vwr6*kbS0@SMm3Q^TrV%3@#lG12VD! zT{GgIChRkPeO}mAw|)7J{r8g*6wN9pw))1={X}_j{8kBx4yqJ zw%ms5ADplI6{8_sy=Ph# z>xd!`s&nIPkCTYoe(5FQ$}4JN^ZJ*^k~KTp)fiAur;{#uKcFi}WFv6wyE8Ha77nS| z@MU8q78#3lOs~foDOcga@n1=BN9pNtX zP8EtgsuiR&hrFqjBe>!RF^G(#4u@N*%kTnOAkNq`(hZXl$r^S`70k>6>dYt}$!2C| zqtfh9Ak)&=NHPS%{E@ma9Fq5{kZ&+sJ^A{uGdF*z1$3IErS~jNIrR%Bi0Jhq1Q_55kcUbSSk~=n@E&_x;8>+uG@86X_%9 zASFNOa8P$jOKQ=gB7MU;ccf(u8CAK-4{b?Hy}?s5^1QrZ?a`s3feI zkKPHXVBHF?lhjWIT9tuo!1GTCmc-NXP<5iOGd(#_*T-VuT?2+ik383ofK*n+^(a&t zi(nTFmo69Mx)R+7)^M#rv!d_Ltz)vz_WVnT_T+Tm>HCA!>A+FHHKdI5a9@xOrVS_# z-$5jT@`H|rIQ1TrwW`@f+!iz^((9Uq-S86T8*p)v-Y--aH-jFa42-{QexAde<;m+r zbVdiBj-mw(xL7(O1PZD{)>OgKWNLh3;gOJ~H|G>D8cxb)wYVnDqz;o3OgnJUO<+sq zp{Cgf+ExlxZ8LlW7JI_cqeX#8+&=}ksC*82g#e|poE}bdfhZF;fk8#CQYNr~BC)%c zO%-asw5N1sD@Vu{7)-{fC%lbUwhLtf zzoxslokw(47Y>es(Eu1rM4Vp!gn$iwRKd?lQe_R4q6;#on;25~oc+*K;DVzTx9E^}3bEs1-2}e2zRqzw zB_Dx5qmRI!)k}aiCr{xLAlOk@g8YUR>k>xGB4zymgEOejpdVUI5!$>T^4)sMJ3)WL z1V7>aWs{gx${v-qEao(;fNv0iET^Hxz@$D&vSiyLAY)!^NJMvh=~hlAc^l;##PH6~ zz1|Y=Iw0B-@>ZRC?`BN>WI{{yQgfG89l_yTN40V+oXt)q>Gg`yHP&d>(Y$#zfeyOY zsGT4*HwPnagKl%nakltW(#@coseI6Hmi*hSX6(y-aOOx^*}#s5jSpZ-0RL2K9QMko zQ=H1<>xGl+)hQKwl|#x|=$sVp6 z+t>mtmgSg=4r8LTl4OU4UbPO~92BDyxI*ofKl{h@*`Bw}91C9n#ZpC&) zoyc+Z6A29DcFB0=BQT!%NG-MKJ2n_Fg;WO`M!;u(0FvO+y>m5*X=*+5dSh@a)mrZ> zZR@mG8M7=Z>zT(q9-FarlLf73!eQq&oO_+?HpptL-SOudYz~L31U%=6{g`h<3&ky< zI8Q6N1#~Nwy8|SMty%p`PLRA_0n3_c+?jwit5N5LeI|3lQoYXUahlx?H z^y);6BV8km{*c4o86oSCP0zn5gz5$dpx0%~k9DqlJWfwt-5|X=J|aZ6?3MWPspFRUXJ!cne+q$6Rl!;Cjw#!*pDWZ=*@9CF zPJ5E6qtIsu;)#a1QkX9Dor*YWRt`%a5^Qq)n*uMxr69O;|3_ZN)eA_z(+Tf`&8p+A z!6V?w)@pZ2qY^z}z%36xa7h|xD{$$i)t3cb6pjbRWDWfGqVU4^!P25(UWei3HC91Z z)~Ek;*r&vIwj{%2ug_&u=7zW>%DPlD5ze)|q~ty`?@e$n_ojMMkiXiM3?Hrh9lV2} z4PDwRFXL@ciNv9IMw@`X3YSU2hHlmK!kVFGqi4+vVS8ZR0J9lqwek*4#O{(iezi!8 zluB7!gF^rZX}sIl_YyYrR_oQ^ELX%+x1ylXY;}0HFx2RaOp6I!=%dHJs{O zz2Uon=veWTJ&lXG=0HHMOV|+DylsZ`@w6d;ElORr=Tf&n9jS_9{5$Suie>dX)0Om zTyR&=93M4z#Tk1TD_27%U!%>_h*!ECg1827HPUFbjqnc$pT+3~05uJfBpIVx0&4(W zXV5lAG=QEffnNebZe)x?5Dt;xVPm?HqJ6$I{6#=WiHwgBgpCtHbOfv&gw=_FkO>(d zA&4#yXd4$`gho5K7Jdl`36L=gK`-MCNOIHgMSML0A@4E9A&Ac1wT;hG&`WZ{k?xp* z=#*Tmy@7&Wxfwzt30aOAh|aOKTI?0!wL>PsF99LBF-GC*=&V_*r8-kP^m+zDPGbgo z4R17B?J63+hJ6;1gjB{Dhmyz~6+rtaNPmKXkii(^5JV=e0J?$^8m-|T_$88%xEP}l zM5m(KY`Ryeeci@D$XCojSn41lTMCDFfxn0(q$$QnD2dJywb^qqLZcla)hSIwWGBWb z1d%BpNZO1M8tupc{1Qn>N{mqmB6C0h{SPBF+EG2=mw=Fq7^4t05^prxCaq{_!UqI| z6vP;ZAUZ+QHo-;@;16DeUjjnrVT?kMRN0zL#0ZV{!*Af1fRJz)qYy+VN!lj=!3d4^ zBR~8S5b_LT6oN+M4WxwK5Rqy6$G_$88%1Q?@G5*fC_ z>wdxrjka(N{1Omy|6&w^q|$G89wRi`#aG~$fROSRqY#A68Xh+9Ov9zv4haaEelZR~ z*kTd%0u7g~hLC`e;1}Z%G=)BFK7)o|;cE#9d3`YsK~wPtB#{!=Xurk|n}Cqc7at*r zj_9<_t1v>NEjk3h1cWTU7=<87<+LCzL!({sAOj(JF9XrxmA1tL7@^UwoDaW55_0xp z6iS+gHyUk=(KP&~1B3*GRJ|C7AUgihwpf4>8trOqH3WnVy%>ccR1hd>D-Daa5E2j) z^I{x=@RJb~rr{cF7zBiTycma|_wYueZP|{7Yk!20fRKh4;}Ap#655u}QqX#AS_Fjb zy9^{1M@#7|zx|qlkaU-UXlJi&i3JOc6-e|O~$on-~*PZYek%WZ0_y|F$w-Gd)h8tx)jy$>; zhoC$N5rhQ`;ifb2OC%wEE=D2f1H1uH84bV3+CV_anu~D=`j9?sb&`gg^B^Q3B+JD( z1bu`z8g1(w8kWfgj2yWbhoF4=uysEgmdp8s)VLUjpgHtmYpH2%sf54D>yQzbf#yn} zA{x5yV<05L#W<8S4{sn%ztGTwB|s!0|1HKLNXnnqmnf*B5d$IZEdzZ_ALiUfL+_gm zglxAMhmtJ(5H9j(?~$bK#Oq*k`mlz76l!|3?v{V zo@Jn=^kEx24S!n&Aps%ZEXJWElB*hRo5L8P(f)oGehCO^W-$suU*U~L+ZHPug#MoJ zOF+mji%|$dMT($@Xjqj8Aps$&EXE-Sl@@}=(D3k$5E2k_$zmLWis-|(QW+k3kAaXv zmVuT_ATJG%%2frKV;N|L1Ug55c0d?BLuC-8vv32rO}3Q0!Bbc>xz#M^ex^1=rj!@_>}~NY_1rG zAgS86YePY`0~iQNTp7qgAGUj%hEWd#A$KbSVKKn#+D)b5`K}O>*CAyq#^LKq@CHCq zmHF!(_=|v$sTCg~$cZ-q+C#&?v0f1n60~9*f?V`ryCe-SVoN3<rQoBp{??#W)0Qq7U2W(lF5kLIOe-R*XZ?cl2TVd>UTD|4l$hzKU@O`kp>)FM%)P z2M`c)t}@VO33Qx>sb?W1Af#HwIFwXMAKui0hF7rl5D+q~VjP0X=);>Hr=f5jLIOf! zRg6PWIemE3cp8ebIz&EI2HGNlNI7Y;H7DS2A_-|!83`mn zA{FBhR6!nUJIp3wcH;zu1cc0}7>6K9d3D%8LD{1i2nkUc2&)FZ?kEYfn_wj*l8^@# z<51EzywPYoYDt*gG!7vFA^j=FA?Qc)P}>o80DN6@?2`!ySx+$vK|kRQNFo`h$!-yY zzX%A)PVo_fw&M+ez93s7BlAJqo%} zuD(cH%0NGphuThSDX8692+8Y^t(1X&kwAwi=%$Gbge0XHhhK-E4EfZ#0SU8784^jz zO^R^{+Cv^{JCh2g$?o(Z{7pbeNy1Zm&_TS>XuI4% z!t7f|Lr6f#GKz5sLgkL2{v^!qj)MsTLUK`zLlAad2>Le(vu~?`kbsa=6yp#?b6VSF z6-H>X@4&nvAfyt-C@r0Nh4`^&pQwjP_scdk)$Aqv{C?lLP0&SrV>!|kB`a?;Rt=$Zwn23<}y%=pNn6? zIFuwc?|!E#=sxU_h@@88QwK6oHGTM08yY^4%|NZ6??d8H(lH72Gz}konSpZVCrQmf zP*4I*rQt)UL&@uOyWONhA_$8Cvf!x|G<^6T2nndIcCK6tLiFKNb^G(HPLBI&j{qpvVfEq&OZ)MrihgwYIi`_3M( zGf-3l&8Fc*x$N$|{*s&pF$qKxsmY#v8~jaPcUS){WAPcL>AVDzTFn$JR06s?-|`*< z{Y60ov^1P5=hHnqo@vHFe@mc;Y54C!5E4oEUf=Q^2D%`D$onNpCuWm$)1IO z641jxS>$|@TJE!JDcCC4@kbgwJ{sdtQi?u&_7Dwi*F#7oJ^HkEE5;${3Vrxo0~+R` zmLZ_WJ~*@);}9g!htJ(d!w(;akbwI9xby{#Lr|JNd~OsCKbiv}0X=b@p1cJ?q6EU6 zhAfzK6Z{g;lTUSKUx$CgzwGDSG@P3cAp!Nx3$t3#@H+bNxwAB!canknZ7V0z;dKqA zuNrs*1%2F~fu7b(Uxjf9!X^Z-8;B1f{6yB7&-ClXvY_Gh^x?pN)3D%92uYgy=V|cO zL=sJT_P|vbp~?O%4}J+~fN#$+jKbG7!W($qJ{m6g9zp_oR$Ou%;}FysZvZ3}&!P_S z7Xc0IoBKLGLXZ}3G}+JJMZ?bvAta#ZZ8xwSZ@2L;}sF zVc`S@8k}~X!#I3hQ~L0Ek|&z%#d4*4@yY2AF%T(4NR!kvEWy@8UiZ@MUK<#wIh8c1 z6%Cht%s?+!TqXkWbuA>&lQjHFmh_6SQ?3O7gn!wCCerY0Sw+A4#3DHhq}L4k4+Rx@ zAtY%UYSqujIDB1e`f$*08m?d?)<&;Yv?*es90?@pgq7dG-$auBN_8Fs=_qLMtu*}R zb_fZ`@c25?s_}JgB+#ogTrFq8>$9fGuWKuT@@V+qKOrQNhHdTD593ghRJR8^F+!8Q zrZ@Z&(D2lS^B9Go8}UYyJ@^<6*J4o+(8xX&7cmY&?dZc7q}s3^|0W>g%uilnAnYkY z(hHB!@Y_5H322l@kM~3pHfsdEO+)*y5E76n)#g5o!`ES*Mo=LQH()g;pf?^nB-etD z^x+FX(XeDS1C5!nO0ET+BoO&rO|}bl1CjKmd%_ibhOg^PK`%<-M!BktO+GZ0fx1Yb z7ihT22O*L4_M;c_8K^6L_~Hy2elHjBxcAFQdhvBwf8gt0Tu;Nza#eZfhY#gi&`knW z(Xgx)gyePqy8M!yrd#O4mn0QbK9hkaJgVD)&+v6pWqauX3f_Vbh@^?{{Wgt(y3>a* zji#adAqWX*(w1e5Fb*Z%MjyU}YVa!O{~JIwUhr+(@cci#nA#iov#f?d_2$Nlla8bL z_l^exZ8#~CN<~!`Mmb;#@jU!bxOB6L49-Xg2r-=WtmOI}IJNHN&NjZkvs;P7F*CM6 zZxGDn)N4#(r(XbqUj~72>1_1{gZ&n@*m)0*KiP%`N)um2?SWYXOjd5xYWP zuRimdPvokMZo!(<9VW+s=U)%JxC8)GA&b-?6arppc9^}ZgAP6qqoJ@g0g(t%I z!&!;5KTZ^)KdH|?4RbXq@!4xm&zNntk~6Q-=v}hS5!wc`3OAQX&N+wjS0q`>bhD-Ocln&WW z3#{TLuCv{*343zY7FE%L5>KRjLkB}5hzB^Lv3un_Qyc1jLPTP7loS73~^`8TrZgF zQF@&V-XE=E`7v8MW}7fKmbuWDRn5?d&1oN$LnjHPNr$*dnqHIux{1YMZ2l4Z0y6T)s z5T_u0sog7+mSCZ>29JuBT5Ks=Wg*6Ol}?Vh;p9lzMJ%??-wO0Px2i0*#)%g^?r^Q| zL%j*j0DWN>e>m!CkOP;FsB=Z<)Vc`;X-x(9=apu^wupbw-_n6MpQY$fOX+r5CoU*3nDV3 zdbvc2TcaPG;Cf0ewYarpw5=CSgz(Nk%IZzWYUkYeOF;Bh^s9}DQ^bP(Lv8x%J;KVA zeYR_t>35?cR^Bk?P&mWYy7x=^Nv*KXGu=yuBT7+#ibRu4IK*?{fxm>R2RL0;^9M}k4BNG;xv-zuB|p9OL6>Fy3uImzQ$ z*cludI8WUgc295seS}eOk`E`~iO&8Y5iZ>lA~_=ueReqXFf!})UoHy9{f6?2uhZ_T zk-rK-Gn@lq8vWS)9=lWN<1n?)fh@RmOQqDxMUCu6Q_f>qZ)sO4c-wL3c+z{j12Os0 z!eL>(MZ^OuNr%EZpf*)phGM0j?nStTOZOGVk=!Py0-=u5Hg+i=j&Iv+<)Sr}o)8pf znnY;I^knXltsYH%_fPL{1(I@cif`T? zLn46V7Oy)ZpIyP+c?rb9r7Nm07!)}Aff$p~;Xg-(tj>`(*CjkPNZWUtHngz~BC7~tE0@~9* zX9=7CRO`PYa;OO0)Ch5ff-(itSX0A+wjvEo*MZ1jHp#`&-w5Wn9fp#9&pTtr!V7|8 zuN|Be2~W0`+(>w3ys20pxi`d*Vmfs-k(^QV&a^~$-}SsuaI;!n6?YiN(E77|~&t%;J!;M`H5m6qCr57t}}i zhe?DV%DDi?U_8*Bc7)7y3Wm*26*G3(sYg7X(DKR}y%{m7^6t5XD;0S|WF zxwyGBq*6!^;q-4^^l5egMbPi>O?a#AP1MJ+?UFdP9&=zEY5bsg?HV{JN7Z7}Z9@gq zt|4ZjeCLn~SNIL0byG?A-z!Q?W6($K8WRBCA@z|Iw-}ZWsbh)s16gqCN+2W(jEs?>RU<1SJwti? zk?q3iM>#!SRa3?eq*&tenH;RsII)LI=j7y(I&JsS`m(4C*!6cc2!Xo7u1@D{`9R9n z!kaCAv9_>_C+w?%11WkzMa_uC$8x|$WOKC#P4JZ<} zdSq4|H9N*8PAcR>!)%|#9VJRZNP^~`pT#v`|!Ml=449e{d1u`vMR$m~@a@=_09EOp51;e4e zDlP1-doJa!c2&x{%{XiI8x^g0vX<=vqFdw-K`n8c+{e}zv{-$6_zQ^tI z4;bO+iPv0qhdd2Y&xf}Vd0Z`pbrQ6?vH zF{56^XQo!gKV&8p`0_k-`o4bYL}9}+bzT-dE>RsA42ml51bNAN40on9NF=n={$sKp z$rbvJ2>Qd8@1s?Z8w^(HfG8tFw61N^t@n=;640xq94f|NOeQNNkNo{|)oh&Y!@3ED zbm?osaOG=NA=S+@q?6-qJDxD3E5BsKsjP)yrn1_(6@^N}tbT9{m#zXrRqcck=uA>< z^HV~+AZ05pxEv8D>kASAf$Kt(&ZIK6LmxSlK@40vFUlj;Ri4_x5w9@yez7WBFcddc zCEV*jZFe7CVh&j-n}(zyD71~pWz$Piy&aJ-X@c`}rd$ygWMk(79Wm>EXc>9Fn-&U9=Uz0k6`W~a#?!^%?#8T zQR*G)QZt}ZYtB*MzGv$G%chhFMrE;QBv0L;DX>X<1qtL)E z|8#=rPn7v8J<-W{3e_Sgt1sA@vO2Mgh~`uYXn7od0h`3`m*EuZ5Thxz?-h4siedlD z=ul1F11HvL4yrXvMxzi&4~COE7MfH?@oGULJh3LY)S8z z`a*$cD~v7?#$=_I4csIY4K%69ZI6VE`)+S#x5MM6bz%p|$GWeqsPSfifA z6hFfb%EvRf%~-wKQ(s`?Y!~G=dvD=I!SkU#OGI-z!55QG4F{pTf~uZmT!D#^<{15Q>6d~u*7*0;Pbe@U zM<6eeG^eLf^{8L)R6XGEGtiCd5Lf^^(wpoF5U0)i{yp{D-|9(n9Az`bFl}^q3Zme_UL5GoV)d8 z7$8xd+*a#wRTs4nSo}a7z-^j+#Nx83b@#Gm-mDnOqjc`T^v zR}oFl7_t9FgMjX~oz7HGe$A5A?ZYS<@|<=-u(E&|<%mI9=LeM>iAJ2 zSXG3ughcoSMrLgUeu2_I6TmM}dKT!?Cv8402qHEdnHeKxPbth8*m9W0sFstfs(NlW z^*!pz`*@Qu_hW9>HM_2x)CK8nHbwuchYQE*%Y3`CPT2UsuT$n`4`+P}AGON2GA}^zYQ7%Hw`qzYpB^AvLVZ-&``YoW0sRM%}`DNko zj6nV;W+RU6Q$Cf;GVD2?29a>-j%OwnxVR|s@%H1-2`8Udt0u9b%blz%Eer(E(?nY2 zIcu226ZI1di*=YhT9b{MLZ5Hx>@LL0A`DIhKz#H;UB-8u%^vO;5J^9}y#iQVOHK=lth%JGo^N*{h zQ{u1R`09CK^HBB27yUS5wT@?337TEc3LnLMXG=$ux@6fKo9A{&zlUI9hI0pGM zChL{{;B4x7!MA21@J{3oi2J9KZ-VSOwIY?C9j|!bhTD){UsBnI#IY6AM5l;sHWVh~ zHm4JM#K*^E<$VG?8K0`h0r_PvXY}ZfREY9qs8-u^LF7mf1()vh)smaU*#PonoD|ml zX%#(d&Lka%b*Dl`9I;cL3}8t}c^wU)2TOar#XwIt#ebX89(I+STtCRK+Dp{IyIzKO zz@&vED_IiALI`BT%wD}$4`L6l8DXkJaf_;)1M5Q1hPphyV-Gz89faf(Ell? zSXO*6$@5WQj>+0K zP%!Lz(P*gNHOTImXDo!SwUfD`e{Xv#ertQ19#^-ZQ>Y097GuBYNUHipoPV=UtQO@# zzNq>|Si^QucGivEfSt9hn>uqY@3hy3?Kbf<;Ce!K){>Yr;A)XS8Aqf|c6(QW`qnP5@*0{ASu7_=Fy{TEZ|G~pA! zd*8#xP;glK!kKhr--_46$86Trp$pNlTV7ODFH>H)n)q;pI*)d2duOAt;~m~b;3}MK z0JWV#G|8(E7gUY%B9W+Sl&~n7CeyacRlf_xzpHg{aFEOEs9SO*kifMir%z>!fqdmm zv&XNR$TVeF-!=x)_@-KF@oH)2W)9ifDw=&JNMy~tuD(Q2Og=h-T=bz(I4^GVES(c^ zoq%lqf5yH9&WS2%932tyL`^&v1ibOU<5Sf2g7sKk@j?v|h7e^{6y#6|5rz=dVPJIx zb{&D$;cy)elbHl`4oou%B8LZux|0boWCAOf3Dbd0-iaaz_8O# zd3jwP*8H}tJ+6o9vm7apcEl3KhlK%49GY_lNndOCO6jWu{=scmX6cA8eWX!1EswlY zy{x@6DoJKZA48CuCC$8m9R5Zbm)2Z?lN6*!-xQ0^zq66T- zbK!9cksV+v>3!k$$Kxy%&8Wzjr?ZIH0&%`l~?1a8d5Od(yr}C62NBe-7EA$b>oZMHtcF*N;8F3;k zw|Ejj!E<4uBS@Io8&NrURbd6(A3~H^K3qtp;3Kq=rqY6c5+&ObDFpICNIy?>#$$#a zMA^@*bw#5NQd>)qr17p3xLfDD2-&hOLzMb0--MMNTN;Veiz?j+!i96Pev9n$1`#w9 z2#KKYAyjT?)A4ql+lzSjohuNbb+LBAP@H59E1J7t$a0EK1RdmQ;H;?b;mjXblJYH? z7%3^=BnADPHE_1m8?P(>Asq@(Yas42F6!YFpygDAu8Cxg5iLddTW?JPhF~0&qz0gW zl1RK+^3*kdE#=3$ni`@pF)$a-<+!W5rUF?s6=*pPAp-g;D^{Umg*usZi1Nmo4ES*y zgaPG~nk~VCG%iy4NX(f57uO$*Vjr}sVeBud?(%ryi?$h^)uRn z>g@3K`+rF|8$L|NeoN;Q)jNmAVsRt2eFeNjv+pct=hm7B7d1rox=iigm19F6$O2nrcNC zmNPp71dj_8KjdjH`IPipl4I{Y=BCrhBdNTepnMTf)kL-B zEQBnIYRlR98)axJs=IW}t5WH}x8updHJqp(seF^lr{n3clMX;nDO{kL6V;Y;5Fq7e zogTm!e##i*?}4%S50l3I9$&h7!ktlvIN~%=n5}6TE9bIU&ZQ8UsHQ3~sf}r$a}%k! zh1b-ZjzQT@!|a(^TNL!a97r11z@Ncw+Ibz3gtL)TBL}O_gUIc9Jk-jgEOKin;;j@< z03diQ-8upUtrv!`9#Qn_op2KsWM`XQf#AeNO%7wiY5WR*!RuM_PJ-SKoDZ1p2WBGT ze2oA|P|drQ*u=+2Aa?r*zfI*9v;iQa3O5=-(@yWHg@fCd`2%_#BI<5-94_dHHI9!} zZ?#h9z{TEkuN32Jg+?u2=e8ST`OtS|xL$Z7kTBK!8>Ga{o*?CCiT8Tf_6hoxQK`%- zTSmd44BYFj2W2=r!$S3kCXFA4m%wAO;>#%Wg4E1)gPL;YSNBR}5{6HL!c4lNgV1PQ7ygeLchqFrYlBKywf166yMRW|xU>B5-l2Ne%Zit6PNaMz4cXuyT)ESKuoawpg? zs|mzIRuIJPR$dTq-&dtsD;eV8CIDloj-8J`bc6}QT~<>i%eNmUH7%K}tGH%IN;a+n zJig$(<^&m6z0Ds8;U&R|jBzz3SDR?*u8~2H*LCii#~H|QN$~QCq~>jxmxOEFa=JA* z(Gj(arRaWH(!JmHfbg>b3m!`k9bugdz)OmxsapQ&I9?J=cp?mGy`-%WqW+T7K4GUq z(t%?RS@CC^k57!c^a++I*EA!`a+&@Loz9T$jO1YhK^e`-cPFWeJU?4?V)ey%woBtf zo&3GEToT37vm;EbgQKV7=M|Gk-Fq3QR5v9Rv^ThH7z;EKGk(7j2S6i>orhi&Bqx4B zz2Rk(aPRkuCrIs6yrA5BMmiL3Uoa0Un$Z2qM~s=J2$Jc{-W{=om`FjLS^8bi!(>xW z9%_}sW|k7gsF6gLWm4zzjsT&!UM;>EwA@8%yjiytcsu0V4P2q!KXreWq-x$M>r{JO&B1;EuhnmX@;V8P+w823$*INFr^n;87b=xD>cpo3d}f6dz*jt z2D0#KAptXS^^N&ZAW`Zp*dRjy)z+z$fqEYR%e32#6s%yl!^J%GvWj6v+irj~49pHE zC9MEXYHz*X<4Fi9qm;rqFsR*_l<2FWtBZA*LY&r`p+3Q8s859mSmAco3>Axu%}|WS zH>sabTNIcIy%~S&tvPfU(e&lj7~8aSASHE<@P_KeQD4&E(6%(;iZ*}^kt{^Gnr)1+ zF_PRuQ5T%L&fwIk4N{Ab9U@EMrY&2`lAK>jwO1V@F}^_x#;FH$vd)D_5-l@exs}3Y zJ4hA2FRZq=OgndSH7Pq+NUzGvvY~W=*6&rEl7BAhY>b|fJr+#qK?+nyjNJdH!PdzQ zaz3fn^rRL)xRz8sC|(Na)Gh^_Xmc5kOb`IFvv`|M1pO>RI9;NVyFmxOBI(9NOgdQa zo2;j0Ha-z^Cqf5<=>n$9-OjiXo#2J??Pe-~zE7$bIWB(%s^VVZg>vPUT1?EIHH0!= z0L0MjCqSd}GpTx8w|qqISP;vE#`et(?l;?kH&27|h-STV`-D`|7QZ@*jYD}qcY_zA z$)x=q`uFQ%6rw(9NF;&-e)Mjrio2I9E^D39KU|nxvhG}O3{+=M@)-$sl+BEX??j*` zIdK>MMtPX}a3Cktwz8Rb5m)(b5bC%!6&e>Uzd25GwM?Ppb5g*FwxOJ0i2o7c!Jesn zrdNo`dK zMr?katlrFR+Q=%qwrQStRM(^^E}4^jj{uDxgrr(0GwtT*Na-J4N}%-rk^#s1M^V_Q zyB65AG?=r^`kU8{yA}wAbw2}(^2P&1cr5o)$imSDpU8(rd0>>Cwt`f2)->vj-S&Q` zJ`Wmf=g5FhCbf0LY@}u0X$9F2#Q+0|t*!R0M(CVUl-NeaRn{-6e@kyf_Zo zcbU6%1fwd9`1k4WhaFHuou_w=sCky3x6LE1pEnD-G;GG{4dz=%ca37#wHk>Eq z8Ybm%6Oe86vkf+aU)3ewU9gsHxlk;CYrZm-47FAc&PCz&A4owJ49C0;plUHl3kHG= zsB}xyz9MQFu3AbOmlFpGH!h2$S|dwsSgEI}#Nc1*BxT2uMvsyh2R%nQ$tY9Dffz}ThuqL5spz|j#I@xOXJpH`RJg>oWsD<(f{OJH%ZjhW$O7^*9vMOX zSY6D(S@5AN0s?shv9I31$@u!c>l9z2>e*H*J0*zc=&}vFopfh6HGzbmn)SUmW3S!?=!Ec&!LnzQ%7aE?S7KLJJ z=AQ_l;IUx7KtQ&0*K~i%ThAXL#L;FCHpY}(X4r3W7bbdGLa-(x(bA0@!q3E`+2J4F z`*k4QUJEx6xuB7B9t^H1AMf93&$KM<^D$gSli$D01_d5)HJvZ4HzncCf^1KqJW<~h z_PH2~(QWf2-dC>H0QZ`C4RMxV6LZy-U(*;!jZJ)bb;8Dl_duBX3iu^9J&?qE$#ouOTJ0_zSPoCnYi8PW5v)U3vSsuf&@*KQ(LN5oPUVaUEpxp zTIw!^EI~r}PJ>MUaTQRt0bLj<;d~jj3 z-F5L>qfqF^=~vX*%s+X)BVcF*iKtTLXqaS`Z?oPOvPKRVzWu@3e{Y8?j*qVnD#03X z?L8j1erowNzz_;s5H1C*iGus7PMbg~p>bHQ?BY+lDm&XUGzYBLpX8uGNUv61$pDWKf(SfNzdIBBUoesB_BqW6>`K&01zHaaYw*V2rH|m z|NNmOTRALF@|DHm{N(JSq46xpY_$h@NbRtcKhR!Y>VUu}7)N1WklrciuOA9`3Z_5) z-Q^1v48@CP!T@*|JQgU$f->$_1~t);U+^pltMUo z4h^1eGXhVmO;S(4Ph7Uy(VCj>fq>2Sfi23*g*LDv{tBTP8~o{v@EFq#r|<-O4jMso zYCdw>vk?5U#clc0=kN+nE$P1LESozXD1BB+Ly5%1tT!ew1`{1l^}7Xe{sR8S#CZrZ z10f&vJG-yAiWJvg#fkGtmG7$J97@`VD9#z?FCs8eoEOw)@<+>v=kX70HI)|zL(!*Z z#P4JReg8h<3m31y(?bJo>2yR-$nhTH2nyKbXeDXC3zXvxIZR52@D@kug2rTcly~Fz zUYLnd19wmAbVggBnK6GAu%!aS7oq25@iotpB{#UDfhE@^L#}1lstEzz9}I=^x>o0% z2V`oK#Dwue1+__P`Q^l3eI=)L!tpl){v8WXal%Ei;0_j&d873TLnO=}eA$d9*oiq{ zq#H*Rt$F`-vQ8*Otg6@i!FbG}XIM~uGbQ$l0F7E6{vcJk^RGBUDzA+u0*kIrjn*R# z)xNAN>RWhaGF?*|kH#ICDH~oW{q~D=u<_uNO>U*7Y+EQ)sHcwHn2MbZ;K)f!`~2dt zk4q;Sd?CM1DO6(2svc>^qH|Z3PdaTNw$p#CIrpQG>-3HE7Gcd7YP~d)SG+B)o!nl` zwFmP4ak`{x0?25m!((}sLN;+qSW<12$js%3$*kpK^sYcVnW2E(No->IG-K=6P6QLW z;c2RFS|88?WnxuIbq#b~c$KzqAd7-&DcNtBfyma(+Z-j3fpTC9hb}?=^zz$YCpEV_ zoTN~5TPQ4#slLq{$I?Wug1q22E*eKRWQu#Vj;=1O!MqGdsKY5u>j8PW9-Hs91M6)K|=8t=bddiiKO$=1hu`4sHrau7Fn zj~ef{jnT+}1~yIG;@AeYi005KBzwBUmZ{Gc6_gfP$=I<5k<;9PWI!*XK|W_h7^`Ef zo9$4*Rq)e2JJ72qDUo~entRB;>on`d;Q>6E+k?p%6r1o^#&rYG%W4@UOLNwsHW*E8XjWY06>xY*GC_Oa1q>L$auLt}{<-ftoxpp0rI zRKbhD1<_b!(9CtD{QCslLA_DQ8y-XTv)-tG*7|T5J%&u&uszW5X2R+%3Rkc_a$42& zoKeu?KAJ;tWcgAp4V29q!paTM*d|Wsh$WOrXa&=|ULyNnb1BmPkx74S@5@oHU>ZDw zg3lub#N?o1#AgVo<`U-velW5<+D1O`Y!qC3=xMS2DXKrXAmovtIV6iBZ6mL_A z99^NHDo@4swijT6)ywg0p!Khq5LFF=uficuHnQ`@f-(-ogL4_&?Oxy68FlML zq1^aD?Y0%+(158YtC^M+R3zzUEe>#*t}%Eb=nJDngbs`*WQf9_6fl-eFTC7!fuIY zT`kQmQd(+n-a)c1K}kx!h!KfILB&}AT@788n?UtKq%fq)(wSv=0dbj-AS(1?7ZAsk zxL$mXp$taj_tej)f`u6)rTANK%CAs%1C4S)wUOX zbbhp<{&)fXJ_3ZTSDiqbxB;$8{RvK1(W@S)LYtv9w4NfTW3NwgIB;I4(O4xb`XIzg z_(rCxKA;d8`6xd{)Xn~O=2lYvGcTPseIJYz+GjtX%_5d^AZ?hkXcjRRE$@gV{Wr{E7 zubUG8#Ny$~ElO_3qRpA8Q6t`7 z+dq_&$CM9ryIe3UuO=0W=>7^CcuZByr{D$RKm)g|8aV#N7p){qFA|)#sbw)*ObE_! zJYiU4A%MyJ)_J{^aD;tS9YmFBY&Ub6Yw)Tt{n~WzG>J~3c>vTp7mFc3&6QR!Q zqQAjnCes#Zc&H)+P6K4lFr0HQu+pI^WZhw2rd9o>$+Wo3tDBE?0F7z3g$T{Ws*CV9 zgH=JktH$!;Z%A~>H=fASND6a;npIzSev~vWX$(uFz^aGFN^Zg*ZVyu&ixDWuVNSb& zb(2zyw&!09j_S3%SgJTpE82$kk&AWD7ZnEs_!$MrlpBanm<^Yrk+P%1ju7R=IFS{n zl+GM?WTI$(u}GN|Oc`B=2#}r7f9V+N76CXkX|PnF;^U{)Fsv%fMat^i2S|}bU~4Pw z*bU@-hBU^{X7v3WfxhYJ3&W&u|-S_Q65j5&M0k%Moih@|5hOql6s} zBecM~%}Xg<#_+&spzLJK1&=6NJ_`zUW^s(Rzw%C2s1vj4$`d~t&3t$)%goTgU{VWp z?z)Zizb}*VxyR(2@4ea07wTLUNZO*)Jy@ud$}8$L!Sajl$&k9zGqPW#3)$IE-5qaT z*l!8ANY%ZZ#2qPZZ%YS81)J`N;kU)gV+-=ew!Fk`%W}j<+ZML}U*K<)?ZM;5ZAs$* zlVL^YO^cG^(k72RQu^;mE>O}k{ojgP%u)DKzR8ABhx^YIybpnzH^-k;sN6Wz6~tY8 zSKd7vvTq?P$F|!H35wE!Sxo+pAfcyHQ61#vYoHo?EmRH^*FeXIk+535plfs1JFYt7 z4@6opVHJ1i@_!vkkgHv z^?)!F`87Ji+`-Cgttvkqf(E+gLp%+RwVvNrD0r1+4`t~v;XwL&gSdRcD$o%yx-;sD zJ=y~jRz0J!Jh?3FH!OpLvpwxmNLckqr)a{8*o?l_Qz89-Ert32@t@AX)G7jJ?BaJk zNdLFou>nSsKno2kni*+=7*V`{(>f=mjIyz>I0CNFzY{n~Z=jUb zwv1KH(3TNy76`bxG#$y0^3Pr6&f*|qe>ycbRS4M;=oB7K)+L z_>Ne{h~DA219r%3J3@r6DHOmUNFq&JLg}Up@IE16+U`I(c5p3xVOYvll?6(#~W|J-efJz z*3>zb96a|CM;r&mOM#G{6vK1Q*dRm!qwT=4K_Q^k_A~((M0wkxyqMMYxE!1{vJ4NAYL|1etky#Z&SgvqW1J1|Zc`KO1ZleSkd6@-{*C0H6 z3A*K-sOhFefG4WKq3Z;hpiY7%9sFkk3cX0%KizTXm;{s@CXIoN7&vBj&^HvSz$Fmi zrcj)Sh11H(ux{bJnN zk{%w)Pj<^gqjs^~HQs6?vGzrk0rZ)*cKCF~G?MY;D?bedeMkYX zV)P9lXsqjj$MPKoEwBZI|AS~GfHXb|l?yo~o-aL?j6mDYQHL9SwZVn*f8_$9uPVP8 zNV0opeYPl{ym?&tXC5c--P{5%c>sXJWBL9>FHu4`n_)yzYW@!^NaYX0e8P37qA-PK zFs~BVbK*&W$VBrG6e2q#Kw)TDq2A3Ivz{a8eiZZdymFlF+}Vo4i>EwH{m^sUsOZ_* z_^~5?IP8$3_wyEmb;6j}q*}cJ;gsUayLv&t zNskdlyZS*fUO!G=hqHNKu%+&Or$Q$a`+UY^V*XV8Bp?f13_)+;ibyE8{r}+0*&PAgNX1pX43Jnp~wK~5Jq#8Ca zzdz>6);A1H<_sdEj(P^5!(;ikjz0(>X1DqxDv=l8N9;=;$Rt{p^!F9UwzNCE9$;my zy1EwcxN0ds-|ddmiG{heVHxv5fF|ub5I)V)L+PiMeO`POWK5P`CCKKoRyMKFWj6}; z8Uba-&`|`a$!5kY&G;K-Xqt+JSKxs3)!+vAK12e&gg30ej)ZcN`amyxCX3Okq?9u3 zITTAz04#VcF$9WlqL>4(K=@*uYAiJnkN2BIP;sUGnJHhzf;vFYQB9kB$GBH}Yf7UCW5)@%r4Qd@|SxMv;!8QtZYbb=LD*MW!Haf#l zDj2y}lupbqYo&0R@CW9A7!kG}K@HBFMwlBEc6*gVMWb9ujj3q#+IpS(HVk=hTzD*% z-n!?>>)nd2J+knNg7RhK!gk1w>IH)6mocg*@D-ZmW`U_)_#34w!TKv#!ef|u7?@&-_uU8%Xsvz+M7`*DsI}AszJpHG&GyFfdAX$h zq3@#(Jo~ggD zzS5bcrFTkB_cERbbXln8*Atgohp?>x%h}t6HdeM=o!)mmXO~fQ+m6cLWF~zn1x*^Af4R z3YU2!C8G|frMe^&_0N47Bp=q{*iKxBbAZBSdq%lBfGrB!#a(myAnl8cM16HZJnK59nSQ4O(2&$^;Bs6O0RGH zZ^qRYe%%{NC2J1u9hkKloNR%2F%6J3V-AI8vTE*bJNsMGdX6AWn_GLMxlr}29Brfp z*J(XF3kAq}yR8Pf!dvrEY{!XH?FqxANa3=5U4@fj2AD=DBlW`JaBID7|HpU|u zx(7Rw2EsbB%E@yUlZqvdgjDglRESl4W>XzpeXv9da`Aes08L27%WVkMq#0%Wjq!4> z6O>=$AoYXLgL32$3I8s*ahpGi7b0>)`1j^Sj8t5N)uUp$_lddR8v-KGs|k(Jw~7kN76Cnc=$vxb76I+;Nl%ng|oCpP>$=n)P!M9 zSNB`6)t-5B zM+AUWFh{ih%b9D}l38mF6&qLLyTI8h)Sj~dD&xoz`4?w2GHY#}FO||8ACLnXt{Dw9 z$U2tIm%~X~Z55nWy@8Zg`*}fE@tqsJi|O%+F0WfSCyb@r5g>d!S{}@k%i?d8rL{b5 z#7ABIH3!}x>kjY|O1AkUa$D7&j0BwbD%jF(39+YnAxn z_v4Pb=;ywC2^QXE-3AZyg?G7*c!K|;ja6LyFBoM0xj^2vT87S%g|Ui$ttAili|PM- zM}RQl83aDg!g`nVgnE|#XZc3Od%ou}O79gVP#AjT9=L`Bh#E;nxH&(l|JgPj*GQm- zkbuqLYE(5W{Oy5Sae@`h z{((M!^Mos-ZtOr$9~?)WavwDrkY#xSBtng)sb zvWtv!2U+i_FaR7zw`1*Z)-4v8YYvK)f~0J#!vw9DRBhXzy1*5XT^%-Wanl)+e+HGo zw7k0eSg5BM`L+4FE2R<#d?ZH8gBa{Xkb8^>A)`}-IR$b;q<=?fmZpKOcn=n z@YU6!pq^$za%=XR^;De*y0;9~)d961=)i>_gJlaZgD35~QcJZt2q)H2Iz9=Y;IS5U z1PRq4fqU?j7R`@BRHvD^Nq$i@RTyRThE>dNPdLU^MpJxdj6Wzt1|*SSk1wz1anovUZlOr=JLJ+NZkm+a4y_*2f(2mexnY zxv>TzW}OPTXDR+*vLIt`nA>Ya=r{+^+67^2Vpx;))-&+8-YDp)7zPCm;tYDnpT3yb zF1C66OKwPx@;rB;!zDJ4`vd-Sjchg=wa)<@c&uF!AkairbyWx!OmDxBs=5`sp=Y{& zH&2-Do6igea&#nAF#hRIXLQVhGKU-t)z|NKD=wpS`3iUklgD?Zl#+N2@!()S7`ezz zC&pu~1ud=TP~gm(pd4Mm9x<@+r@;l5rAbbI?k{B*Si(>R-IUW!Mm-SF)!SR!{Qj_>HL2!y=sXQU+#g!64Z)l=m697*3?Q`wcv|i~ z1%?YXESTf=;ztMaictlRAT>>7?bZ=XP{*>GocivPLuAvXJk%<}+%LO}n=_Al&d%`p z9U+1%uG*)AmJcH}FS@f*z!_5XuwQZAAKi&mjl=Il|0`-Ynl~`2pc$#w3$%|{g)Y@c zl2$o1|7i%a58`%bWW}YL|K*4g?5}Dr?E7I!*yu6C9D}BQtQU4f82k;*W0dABJw)a% z6)ft(WxX|v+L1{Z)(8p(E%s@(oCv1huS)YdSAsO3dli3PC)N7flF5?%@kWQsjp3#= zU#xt(Lhyn-^pLEoW@FY9Fe%J>%z+@A0{!@XUME{_aJVzATdoTQBA%_+Iu+XO4ut_K zH&U$Ve?Zb|pg^=J`A!$H|6lD~g?R`8#klVP?II>7U?u!PQN)RfKo~{;h@$k&OQ9X? zQqiGo|B3~o+zGw92C2nZ=HiYZp)We1wgDZo9474+J`lAx*t5|e7?S!XNnUJ|o4w1%RiPO~*{#7bKnpVpy=*Z>wh*2{E+b-n<5Sy5|+cAWyX zLi2M*elLA39q1u~*WaI@=1=%lku<6F1oTSOgOVsvm=}@FSxF|+l27jTT8!%|f zvG2ZIM^Yy)lqYz*A(D4ZBANd0IsNWqk>U5j&~ADGg6@SSeO&nw+Haa0X1zi~QkAD5 zNPuJg;4h3QI`ByoNiT}U0|yrbK(c6V*v3aQnYx3Y%E8I#hQ*ymMKb4xS+DGfC`f;8 zyyEiVhe`Et{_87yX&T=V=f*1{Ej5qadR0e&Fo2{4z8vn;c9F~-Ubp|1!)a%~H!>O6 z9IY^;{k^nraQs1=2MaTTeuHDtDrDl}Y6K0tgl0IiSgyg}sCa<*Cia(v{OTdTWjs0F zms2tOXWWxc$rH&9Hnq4W#0}6z%Z=^awFr=k27TwC2uK|!F=(chl=rf`rSeMxIeYo} zaj(!A5sU=&&>QaDC;$YHwGRc!>=ddAwS4=x^FJjE7ewt=Iw8Ka6Wn#Vb<-ASV$6qI z_J=@B%pKNxdoEdD{mFl0vF-8O0>P4O{VOi05W3ZoO#QIrrO6JP4We6lf56DhJit-s zI^oU0Gho?jgL@WtyBiW#-Gp>fS(BcyN*D!uF#yQ6;Cck8NodBHH{fq{3pC?d!F>O5 zh%sjmlcibV4ePBW8Hu#ddUSHe6M=D2fmDu*V<2NPd3qy4#aB_$PuV?;qsm4UjenCw z-R^A7e&{F7On8f)ajhF{^Gl5pd2&nSV^0Qya=A1&RFs#eeJOC0PLzB&`*cK6aL#)$ z%=kUQgcx3SE;AwQ+z2}^V`aVRL@)~lb)4kPR*fBmyRcJMO^NxVA`M ztQ2N6M@xdyoN2{d@Hd0zU{mC&E7yI^BFI=Ra(EjzER2K-Q;XLvj5?@*(d`5|mp{vJ zTJU@^*1r{jQr72$buOI(PkBU5 ziaYTB3L<~NTM92PJKhiuLCR`))J;=X`J^!+4vkAYz=Own8->eBY$&n_U?~}-tVTo1 zYHZA{v{t+xNC!M0kBSLXB;mN^eX6JR;knOxGO@zC|L)BP^um+N;Wf-u$s38Q@JCFhWtI7sXjGFJ;w-}HQ5 zO3dCr97c{pS|%kMJc3)tT%kKOdZ?!bL=1SFVbv8{H4aMa#`BV}s*h%D!v!X6WZQGMj-q}Dpms%@#JDO1!>(5I+p{+kiL_Qd z*{WdvP=ebf^=t+L)l!|-do(QZtJzd`dR_;0yNy`xa|W*(BNtzEvn@*vSlt!~2aG~m z1jqL0UL8lBjF5v<>s|(}d5UZp;v&+zXYx7SWpmaaaXI|!2L=PQz9#B0roQ6-j01r1 zSfQW>{;*@E>I-e~;^K2&CspTgY&7y?ca41Jshy7ZE-bk0xv0Ci?W!-}?%}0pyNHvG zb?PI-Sf~8)-TU+T|8zROyRncCz?h63csBf62p7YwOp_otcMw2@FeG`Pcxq-ViIwk4 zWb@^TT)rebyES3wQt>eV25uSBZ86LdFsQf*#z(=~fKo|GWx1a&-awi!79{=P<|R@x z+*-LU=TgSN45~=P@a+*Qlga}$plFP+eL<$=j1lOkRBxx+CXFYptGv!gYeQNNmjQRS z-iGnZS=~l^6OHkDf56uQZDXz&-1VxLN%Af)o*$D@0wd7_5QK*q)$dKjM!bzRZMl5& zb7{K^TN>DmjGebiKFM&l(208lAi-lrsc$G)Dl!U@`{_wsQCLgr3!U5Wu8COcb30ScrJQRNXq10O1PTmMbBDP(rnqe{062K8NNN*cXllnH2qTL6 zB#5W)Z%WFsK0oxPmZ%L+KCp$y;~3IBF_rhXZ(S925bT$e^Aa6&7&sD|;)#~b{cuqs zX*4k30q+|CZ-K{(T34VwaC%ar>O1{Y(OS|}6y(M0o?c!|Su)rR&STE8SddUZadLwPzj_9TTtXlP+V9o z`6HB^ZFbu8rJItevG&p*6(UIRe8ITs0JoDV84MyL+N!Xn=RJbf5hOykwUC`HJPF#j zq$9!hI!88+2_S#cuS*c*X$BE((hmEWQ!BWiegiI9Eb-PY`7J5gZDVXuQNj002aSQH z2$4w-JS~i}Oin$8zxCE49XMV(pxTbp2EIW`2S%d}rH`cphD6_Vy35g4`j=1;(`;@f z!7(@Q;9+XF1MdE?8mn0W-JrESc!E?;We%RrW>|@cI*ZK@>Ie{+0B`ddQS|7T3FL8i zqM>59oU%XlT0$8QOie?&auvlWy9}a6sE0}(;aVi$MlUc-=Au!-kcAm+ZXiB zWN=Cd3+F)HxDqs?q8P><0MOvEVpxZ=6lEabdg#iNR6G0Vop5jcoq{-@DEHGcat^mg z4~L^JV}AT#0Um4x$P%=Bp=NVUkJrhD%WR%(VMC9kY^bw^i^thKO8v$jDVYA6xHV3k zMzFPtBLN!mDhc#c4_&2chzY@|{|+XcZ^<1+hTD8SLAf2$S`YbVgsnOz&ipUinZ-J0v7@} zq}CgQe*4a=iINdidJ5)d>+w?*r%b98BTQ5L6pEJ&sgi_S$b2~nEI{z>yUr`gmd=8A z-rOS-@}=r4pDKyFuoFD#%Zr`hF90lftPc2FU^Z|!v{4VM#*xN1Jc(GiaeO-85*g>f z;$zJ{4-SsxgNY+YJYF39SrA*rM{1y%@oOhWmB#Dac0eA~M*5UMhLOSjAuIUig z?8BFA8+aZG?i|R65nB(AL>~vLh;3QD7$X9tVv@$GL)1-ZOd^1q0Q%1fl8Ue6M5_2w zlA7oFy^0|gz`02kjJ}~XNBtKNq(*&)_>1_PA=9CAGV~1!%EhDJAXTF{X*M!`kw08$ zo4X_)1q;0{rO4d4gH7-j=J1R_h=8*yB(=F6K4~g7+}7NBM(fG`KkPZj2%nSN4UmxxQ{0bghamF51lGNpQ;Css3SSuUJ_8)_?S1TOkZ+}H0f|Ci=AL%oQ87Bc`1%}IEyFL%Te=3wEVMyS8r zHIVGLx#H6PCzHwMy^pw}!hRKe`ET`k2Iz@19(YB=P<5KAh)dyJKyX{lcXyCYcky(T zJvAw*q55pQLeq2MKoji5`YAc^;Hc?WK)_$gjkPSeY5Qm7<)CyK?1FhrMc>xFFp3U_=CP=30ywu z$arZ9eMP$?nIG++zN3l61_x&S7VtNG*fconl$JE+g9<6%`V_H^DZQx<25$J{x5i_} z@F$d6X!5~heXZliLBctrW=&@1AZs#9$o3zrg=~L%RO)zz!>P~+SB{%@fDHmn8jcb^ zlI}z}g}gGXXif7JvSC{$9&6qZgYxz<8>5QdGzdJYoan|f>u4Qa?uZAHY6+KrCWyaT zodwr#c>6+@_)-*|3(@vr%KP<>AR(NksLtepwNQb*RtRSW&#Wszf@I(1XvTvhjU>qs z+!!=xf_n_YH3{yq_!|=)nD~;=!5>!Cea;eSk_9=@SWy*`{N9$2tD;dZ#0POtxEPxo zdWYP^SRNgHlj_B5{M4G@Lx-U`y8STk>TH)U(5hBoRG8tkBkn9vJH8`G2$DmNIsl zpkty-3owM#(&s_n?IBx!^D5HT{pqRtEYfgsvp3`0ych0dgDTb$?}S>e;7fibsJj|Msb8e`6h2u)*7R2J}9-S``0&Lq_Ct5+Cw z`@V@-zgJRyXZ!3IuaDZnY*EYX>u21YPsffNi^j&3#%n_9a2HHz{^#^gMVBmD?g)%Z zEuHdTTV0LC`J3dMYUFHD`Y_S@Hog+@z)jOeWKw+%ZruSpIcVplwNf6Gr1sU_V;*$t z3i*`o*8PWrIEg|usZvP+PX(Il#4!oel*6=TK1ke>wxvRp?!N2XlpLliskSUnUrw}*IzBo;$J^!EaokaYpa znEOkQsf@Y9?s2>TH6ewjyB7okCFvtmC%9bsS?7myk%rnXH)QN}m4{-oKi9ay`6Lu_ zX1;cPku*YH_<9zfo2q}Be%^p{!)jB}sy{$eQMfyQ@`r4`7VbbBD^(1&JFuy!25vRg z4eu}jMqd~ntG6Rym}Q<+8y=6&BFaZjhot;Hl4~ZjJu$-|UOga^&P^?@eA1brH-3e~ zNuzKY<6g|_n?_#%hmd)9;4?~UrgQ$s_Z%k+KNbx6vUyoelR>$xeyH&dc^3V9M*v7g zgwJ;aH6j3SdF_K@q1~f_uvk zcq2_)+dgI)DsOBLdB|T=VHv@yxAz&E_8aW?SG|U8ikHQv?E3i*U)8})# zt|W82iu%0pFO3S&z$BKPGL9%c5zJglErOW#8zk+@eiJyN_S~9607@R-1zms|J>)ku zI)?sOFlV}%5Rg^(qx(FpXhrSoq^{2G%ogfu<#1t4ZLQrw+y3=-`FzrE%!)_*g9TU1 zbaZtFhN+bi9GL=n%V|@fn|+Gt=eJ*^ksxkUP^!Cv!TOs4-6>Z$ESDVO>kSng1@#p@g68CEE_-(OGdbI1gdFB7AO4> zf1`wBmHmF2UGBB!AaSlc$i+#IRqa#bq=Vfa(lHlnh!>eerUb(~d#) zrBE!bn+bJi4|bE>k}qTl%mhy+kH_roS-9$FpGvNJT)ls^CbgGPn#=v#{z$Gk4F@*U2sm?LV=L(oM2pv`PiROc*2>40n5oC zWsntTKt+B183HBNW8d?*$cI1KV=**QBEGQE0**d5CIH~EetIIv`5=w+{JbJh8aorH zZ!YB1%?(hq1B}lmEI-pA2gf?A-^jqlW;kJ4l62dS>+P~unkfNXC~G;iv!OYD;n|{z z@(imrf@q-bY@>;CBLITOx~aiJpP~Eo0Gz`p0sD zBOke&8aO}YSCFRTylAVIHPIH0!E7i!va`M~Kh>TA(K0pk4dO{uHrEdwxrg z)rIiJ?sjiHw+Al2MPDr&GpzQrqaNLIm)qltl-UV;j+T2$Hg=b{ zXa1#sJ}0>H7!B_MldS#?ldS&DN#gbySIg2UZ{zlC7PY&SzT5EWcn$QqfG%t?P}utkTu1pSw?!kX?$@@+V>f1k zO#^65qAo>fnnZmC{t1tD8UDs3Y6vbhz{xYPX>X4t-jQx^D*I!2RJQSAx3OtW=Y@i{ zxyAMWLc2RlvW1FWaM9kFk9Uz6Z=>$K)$a=%Iy(bUnTq>@LKU_EDphl8m*>&*AjDKS zkC$NE<;z)!iDZq_j7A#^G5I=z#O7Zu#Iy-QOq*f$Y(Bgxj~4>zA*ON?BQ23la>$1v zCb)=Kw}SYvy>&oY+b3QL>{y5jJ$|D@4&^enE&<&}TRWejo z{qBtgwj5T%(M@SrJb`jmue;BM!p5{OFCfg6+X@|FPAccZ5uojeqBT3g|K1SiX)vVu zj9@r2R;s+mZO2PB{c@Nq=tov&dVXa`$PfkzVIcv`nAu9)bw4|u`MRIv)VNsfRvJK7 zgL}tN&FEiB0=4nM>)!bbG?qDzWsQ|14ta$q*X6KY264;IM?s} zN4k($a`|v*S;x}4bWk@tc;Vb2(2)vfN!=Ll5pvGol-y;VM@P>sv3YqEVRBVOhlawL z>CaUx0kL-=1O9v3!^sF7eSvyTlfvi9`of} z>t?pvqRlhna>RG;shtk?E(G5k7>$ps9PEIBEn~{IK~aRxuU2^1Q}7OWtgH2R>68Yw zr;dKU%TMC{>*6V|BT#(bvV;f4i+WrqHQ$>G$n9GncDWKz8`YK!rHrdmFbdDkYa<2E z+2t@sFRvc2ITyq6ntQQejTTn0%Pr!bgpO|;NAj=$5J--(C$+d>X6KENN)iHQ?X^$@ zA@9g6nhZr;gA=#<10iFi6vkJWSsCd_1`u2{oePnogNI3-98cJb>)ND<)KHtsWcltM zClDMCp^|~;BVc^zyc$`q(x^w=t)v#LM8Uz_Ny9kuwwky!5O#1 zm{am7;LhxawFr;5A7F4&9z^cFkdog%g|zMvWckuLP-@lET2|t=Wq~58V8j@hfi@wd z$hQ=#U_XFWNbgaBny}Ccj3bd~zBJ^|ry9yawy0??a7Z=^fzZ$%0Px_kuA^`nN6<5) zq}72#2aazb@^K+>Hg(@T44gsBI*DfWGl)CK?6~y^55VDXOu=oy-+EIOamr~)m{20A zeU#@dUrvf^mL*(`HA^G@d>LhDtN>k5G}aR%_0>z0;UE|(?eQ!zXpIVvQDq}ShLfV= zPXh;Vc>|g|Fj#T*w?oU90JOCiUWBr~&WON3B4Ao(i zyP>Llv=MGV+^E!4zLU<|p7`2hH=-+0R*{z!JxPWpJ^S(>C_LfIA)|&(fFp{2KDwPK zzj~dLva^6hE!_>}39oOADURUrXd$@+$`d>;VuXHq$LmJ{Pv8eIDZ@a|Dd2der;zG2 zCy_^1zN#h3>@KhFtj-23+0Jh=LxX&+7ruH#(SWas>!EMGHRY4!lc&mtS4J*up5 zAq+S68qOVx2Zs1h2+z#2p|m=rb}>}aSA}?O62Cu`PR!jrT=Af~FZjjcPNt%Mra&2Y z!LC7WN>VdOYX-x81cSL<+h6r5?i%na$-B+jmaWL z`+p-?O*AtPrwM*DmF+-{O zL@G+9F_U&-gkPr?*{&gT@Bqac53VtRr9`dkLjRpXtQW zcecy#IA3wz^bVM_E2toan(6J$?|?#S*t#_ejR>D9T zHMlzG5Bgd&L#Jju3A7Z#0i!my4}fKIu!VvZcHcILw6qS=t^teR7R$uwkfw#VFG}Z1{LNjb z#FUoA)8Sl*D~D46`vCwC9%};rHi!*p6nla5lnakOKB}4=f1Gd6YA#=^S=P}+LPs9? zn%OkFGm!Qp-G_f<~|t10{NtNE|&)^+@&!fMJB{|l4z|8kE-SiKAP z=6|ieU}mmdSWWjpUu~lIh2MoX39Dg;IM}Au)-%f139EnAR;#;XT7A)@&-NBp?-5{| zcIV=me-JU*E5L@;JiRa3xP6xZd!GQ?gvlSeRPGd3?-yX3R(F5o)$zjW11E#sW5(?w z-oFW}O?Y3n;n->cc2dB^M3Y{pulh+?of2RJ-aJiu|9R>`Vf8`tYMuu#FMDi{u)0lH zZNlV=4Hs7ltL2lezN+)KYGHNyWUH@!c>Yzw>O;b6)9zd|{e|Pg>ciUV6Y}7->-#=0 ztp2Y6+w_&!opw~D;O{3}ef>kLUJ_s*5il{qzM<6pwy-)Qz&3s5jq4sfTUgyLz&5SE z>9q4+6;@{j*d|PFesG((mq!KIrq#E+H*b{y`%y-7c|5JY7{Z3OY54-az=G8pj_k1(&abfkT=G8pC?>ogNoSn`^!fF%V_y4J< zK$Fg=X{%3o()v$J3Ov}Eex|N>O!OYGrlDNG`*Z=eX)hn>G;y)8x{H9fY4w8x`iT@g zLs)J4%7>AL4hXc39C)?e&YU#w+pMgoow}!Q~Om5tIro! zoA7?B@tDYi7igv@fJZ2cD~rWnul$_ciGzl>`Tm;@O<+8lnEjxmkO{=cn?|KZ-4;%59ZZ8 z?4kMJ4+*Qg3z)!H@^}xsr}2oe8ZCa5gD2ceN6GtwMcw%_VYLbG;gU_HU{CXE9&cyf za*KdTFKzV+eUcaNZW4L0w*cF;mm?-G7J2aUlfnLLXtcFA zt6%x!@1?@(tAy1iyhl!|?;)(dTEN7#m#=>PuE>Mem{;@ke(l(kBHq^un3(V${l^Q} z37GUTujaYx^|ybSAgsR5j0wMb%&Pfwgw^Qp{!bnpd-Nso%-+(39wCjInC8yeAc)E}r>&h1Dj!r%$jg7GU3}tv;cQEvvm;l!NyRt4(;% z_`OZkB>e?UOsoHP+sah}CIif{d5(Smt?`?L)qfIJoA&a9ukI3g@Bsl6)9UiyPZN3Y zL1DEC?^*x%^HBklhXhPuHIMh~H~)UNu=?SX!JhNw)4he&7)>;M5){j2 z_LpX0w5le(^LsBVc0s%EjsNB2AtZV4GI|>*kwZ7cjvvut5_O z-k*=nh&*T$FfpyJ3Vb8-;9z0336mxAtN{Whb^#O9>SZ@QRV}Q3-n^Qp;PSEO4ii=n z5muY<{-P!(^59VOY96+)?Q>BM7Hg|d=#5tQ9Vcp%VFGOUN*;F2n42mEyd45;6W)R9 zwiUwa;R0;a>fpi9*}`h)$yTqtvFtQq^$P+fCcM9V{YmjOyePmn?d4b1=adVuM+mS@ zc-N-3t`S!MRe)_;U4KJm4`KC7X4pKv8%Dn>;{CF)+JyHi|4p|DuwM}{F|GbO+4hjI zdZYl`gtv74m-B_yuL`hXHIMh|QBy@8d`*CD!lcnR@M!_|sFSS@C(k(`tR5|3VuHQq zx=rHQd0l{Q`pR!!`&iV)V+7cy)oZ_aQRKm~!fF#H-~QHjoq+c^0Ta{e^?eR*6IPEm z!{+I|;Z^@ZVf7orY7^cYm%BwCeDh?hzdP`tMS%U*$?*Q6&pDR}t0xGs;VXH(e;m2# zMq#x}SZ%_4)3Oh57gkRcFoD%P?9Ka!%n??*&8vCMz4h9wMLGDkfQbq3pI$lmx&V8U z0Nb>eKQHx*dS|i#+w_&c>>DSZ%Mt;$=_{MAxo5F}$rJ&$36tn6T|^3c1lXq4%}ah1 zCEL`K!H(^Hze&KvE37ts<@T$e5qWT$fQf1Kj+ZZ6A;5k|fNjFNr79_6^6tr2@7&|J z2(aHXujaX`^{UszQ(P*nHtkO0rQ1dRp02IdEmNKccU5%~d9X}?ZTiaHzyA1~fcK1( zt^W1O_csZvX9}xL^xpH=$3!0dn;CDOCVM}>2zfBqsW+}V>7SxbojOxkoz9$w^Zy~H zsa6$~murRGaV2xH-X?$*HLT|4KM2@V3i>Pl#@Az{u<{nJ^8SpF*3Vp#)g0J=3gYcl>1ztn@8fgPxPF731*X)D~$y@naFZt0l}fe1dnwe z1t%0?Kr?AbZGijfBhtLU5tCwbLq;2Ic)Hu3@I_~b3)sPx@W%B95-gx$^xcnewVEPy z%i*yez~3l+p{B?Og`lZ?5f+i0w;1L#S1sfUDkoJ$v?+-ho6VTYiUl<<01SAnzro)^ zjSHZyVtvV5q^HLjyrV5$+;d#k0p!-|y=R;n@DD%ousc&XJzgi}3un_=xN@=H z01_QDc&w?8AYpV+KrMio`R~J|JeqNPip!g%Jl~{xZn4)MDgUJ{6rxS4ahuVkn&fui zAVSwT976{1SljS7#^E8TI0~vwsy}Tf@#gKZNOMd|Pj)p$qvj^noR4c#g_|n$k~bM4 zcHr@d+N8SX7&NJF5XK)(YQCtZ5QHYxzr@_MNj1QA^?P9a@C1X%U7fPMDN%b&=Col*$(W_0W%XdMOYG4=7Ad}YrEf`UtNp&^U zchM$Q>3=k-{`W+X!)lZ2pyd*&S)WKqHQ{i2aCL(#hQ}U?A>oFx*7cKf{*b5on`kDE zGgH%&thd+lO{%{Olz?(E;KIDxq&nv)wgns&+5*ZRWlgFiBQ~kBy*ttoAY4jy2+#VQ zjT_0_jbd9s1M$muuYlU@!n$v_dNRuJ@(cHebE8W>-WYWo7mxB4iy3@@NqV>AZ;ZFG zkUx@Erx#6=LojDS9?KQMj;uTr=4L51)8m;q98R4-PMPuwX)k8!O=QtpMEhIc1tjbM=3;sL!gOHrj0o-)HRV;BA$jVZ-nx( zmsS#wI9jLR*Dp~(h)gIQ({SVp^YS^`ef#aq(WHC~kK?9!!MxmFevRFMJ*|?IHk$GI zmZ@AvB%xwo8b^0;MGef(so|kkZq)8l%4F@_uvNU66JuNOSo0@>n8rb|BZ{713D;do zp1Q{WN7NMr zSscrvwulK$aHN#Ur3uQavdGvp*pdX4Z4!DfC}|++x%c-x=iGCbmiLdhpU>^NJ@@Hz z&pqck&-0w;Jm+~{4+*Z>vz!??ki=r=h_U_NptG^JD}#q~LZPfC4Ka8P+HT>xsEgt@ z3=)uTlKHEb?}yl^`;CKM@tf+eo=8Wv(+kFISL9?ZO`+RA#6Mg)gfxzD+JwfTsi3Xt z@rcLdsPFR$T1LEK&_FH+Wkjh`Dw?X`x=6t~PN|sVIm=?&uDt6Fvg$5Fix0+RA?qWH zX2%lfx9-jPS?tx0Ek8su9rBI#Y}k-$4f{nUj3RtPH?|DtMM6O;Z-w$tASTKuW{=y> zzoqC^3BC%7Ar%-?gtN^-!Q-{{N5jb49QF8+l*>cpTh;9IQ? z;n{!}&B1ULol5bVhmeN1g@Y}}#*m5(MCyoFq?6&0d-@-Wot~=GQsw&meqBvMUw$-T z!&Q{8wHD{E8dJg-@=_<*BbNX-?!3gvjfWjrrk*TK6NvGvaRdyFh-O!~icaf^6FEGK z8oYeZ*QDXMv?Eg1us4+*uLVC{yXd@VLg`qM@MXhsNJW@Pr?o@s27mOT(^@NszPZ^jWWBTo8x+u- z5}-#*cjZBB!g!vs6Hdf0k$e!Qw*GOKQW6`O^ZWJFNT@vXj_BPJFXa9Vu>C>o}qRGiZ1Ml5PA~>yh3`bS0KIBXlv@_pHX-X?JK`xu`cMGmQ6l3|1C$;t*>U4>P+lk@v8)x#cONeRCgofbn<5Zz3ipZ2w4E3CbPk;LEx=}CUBa$sAm&k z`gsMa45g~`SCaYpCaqJJYtO?%4LSkSp8P=JcQQ}=pv6{3?2!keJ^lS`+Ht8s-oYYO^pR0=eNII$?2Ska- z`NbtYal&!dcn@4k@fw639@4PgU;^HQE_*u1%hmd zEk-tf;=p_A5*<>tnuBbpOZ1}4d!ocVpo3@!EH7C}8f;EiG}lm)3+W>N@I0wC78}2! z#OKSlp~%m8%-M%a04rQYSE${9?48C>098-~BL-wJTsofAPqZeK)%9;Ab8TOajYT}r zKV0TRNF%=&8G+Ib%ZKLr( z(4?(9)#I#@giW*VqyZ&vZo^g7rzc8~BZKIK?{@~V_CG7)vle?D{R=#J5LwNJq}&}0 zME5niT*yTOlAb~uL-un{-4{`JVJgxxuHfJJ3{d-*ZqX|OjdwouA86%w*w{40o|2WL}32bYq9wzkU{ zI{8l&qhU@%&=G}Bz0KA8NX8M1~O9 z8P_$Vj*zvZjacih<14XPRL{nV^Mk8x*Y-pRXDTsz1>}#bYay<$(qZYzpwBk39&-3S zcPcEz+{(e0aiO4N@g-IphG3Vnf+0^Ds%=~axK8Z=!%|ejjFxvp6w}Wkis=`IVS2Ud z@9Ij-LG%TFqoF&m@7Yv19v6n?3q&^G@&MWXNK_HFKO}l>+aGkHiBFG$BnKqT%7Mqc zUIkQe74=ga$R-=*x)5;}zkTzXf0MO5hjZm#Tjs1&^ZG89BfH>e{-S4pOb}`9%B(ll zzI)&Rh^xA4K@8%m5_#`iY3o|Z-{f*w6Bzwd4rvQOO!f(j5V{cgbI&gU%^u8HV6i>- zFuCZcBiug`DzjeHVRe`z!=-`&!`W42%MSrAxQcEteF~@rpv_7i#*@e<;wNT4zj0wo z3B+grn2p%5rKvzj&!E*0&~j#XqX{jOOQ?B6u$a##l185^A~x3frF7FGhZQ(77@0cL z&ixzhfj~B~VrGfk)rd;C*o~ZdN8Hr2BOnS@Qid@F`LwXt5wf_K>A-DktwUNoS4 zUw}e`fxRG?-cMhRA+0N1kmjP*D~eusbh*`&!OqvR3Hr05W^6}2iF4wcwdNubs?k8j zFtU6|)HHK4WF4MtQc^nQ3sfZ~;dE#w3!KwjLf_I8B?Q^^Gjq-Hc}Iyn&m^G()52kK zRI1~pm`yD$Cn|8_dlSpRl& zQX225cR5Ky?-8I1zbw$~g5i1BV|Kx5Yw!9p71Vp)D6am3Z-M?{yp(oQuY#Bnzj)Ir0Q-)(QOnd*J0>vVJQvrHW6#D9~;j8IB4y)*<%jTCWR$! zeS*x1>zcP~E!0#Oj95*z*PSbWC5;E&9-(o6D4;LQ8vjMCsm9+RKRPVTtd5MHObX5D zoGt&gdn->XkT9_8O>iLn-whhAIXtmGBN4aAWG$Q>;o;#h_G3!F@8K zBs5J5c`iChz*Thj$(rgDnX!CjF_>G6jo-g$YO_~Oz_fAZb512U`}_UgT-&N5m%{_e zT`>WZRP{Q>TkYDrL`V*Z=P7%0KCun6?j$@~3$B3{a9tefxtlHoGaEzJK;oh0e?SnG zv|`OQ9Lt8sMFW3066VB1ey^nEneYHs&Oea;aEXIN&W8oJFd6@#VUr;{V-6Gq&_Q=y zv693uH*GS+ug`?Ca_8>LT+Ok85!l->q&LE0;Syjl;xw+Mkoz&>Ilhf3|0U7PYO+JX zG^lC_ywu!}AugWS^8wAEe$ zGtRU`N&SDs?kz%^r+k%iQJ^ABMt1|1-0Sk!lTGF|YUlP(eco)_{Ou{H3ljq;eX{zHnyEg*kS*#;h?VU5FW(7mj{|)Q(O>n`%c%Ie(|r$DKAr9bffqP)Uugaz`^B z+%ZapygHLc-INa{GK6lO16h=VEC5YlX#wOo?iO~ua;GZHBgC!mux^5Ve#TTN!vCMY zPKOD^T2cV}|2j8C((ci^tiU>8X%g&x%aX>0;N*=Mz`RVFb6+$xLN9!&4n;Lcvhtx**bc@Di`r=7gND$XM7$oV;yabLkWV8N^L%7+`oL9$GD90#+{E?!Q{SQn@zaCJuSv^;Q;aNq z-wT9obdiKZkIZpDyn;&zoJl5Nvx(m-CXm9kn)fPpCzcu$1QjvQMy?V_)`Jz;3D%$#7ET`gJe(A^sOWF zmzF}npXUwjUL89xDaYq8jmNMv1X&7ex`$D!KShyp-Gj1`WR@+q*7bvcKYuoi*{gNa z)iH}HZcVDAD+QMTxNWPC85M1T6kQe0tZgAxpGAqkYKhkv-s2HR!Z&A;?4{v7+`9D| z2aJpLrzuvG9tWK%Pod1N+5zD`97f4jwUoQ;BossJMWn4a025qA&rpnpuZCn-*v{wj z_uM^{9K0`0{09fY&cObAVurZ)GoTxlQ(;9X3)9a&rr1XK=U{5Wmdgj&Jdse%9f4%d zoa-q*Fy=^T%Jzqbj>7!}>?nh#BB2&J6+{?JmH4+trgCE0`%>837N0W3Rd_4K+O4C4G~zrcRi7Uv6?GB2eMH3cZZHbo~ENF5q#jIv>MdLV+_HMyo1|;o<(%{4ld3x zbBM}2=1wII)u8KlHdKq4;!G94(maxmcycS|dIN!`#K5+UHKWCxK_1SDau`L8y<(U* zSsEAfx%{Pjh^_wDL?TzeH<|OezT6Xo45KS>1(r!WUxv6(ele(NhRDD<*5PV{4I>!J zO*uljXB5&NM@=ixN%7MKDU_(cLxxNwupcI-^mv6v`8$I30#$Z6BYY0)XitN(6U>8z zQX;iO9%-IHGC@}YQnE;ri0WdTrahiJVZ195KDH+3^P;u};j#wlyO22dIYY72Pv}N2 zSa21tOqFQ31JosjUT%qj|;CSM)AFd*Y)@*G&fq#3^ zp$9a;;~NTzAaCf5X_olO@V}grpU3}&#-TDf7;fPzLXoUdGD<-SgM-29{5NoA`YqGg zx>u0KLyoPOf((cAX;DfI()skmfRA_UNW=$ZVW2lqP{Y7f&m5V*&JE6b9s{2aiG7nw zTU{WLjv$}T=5&1lKblXs7b#NCFo3fH8PFy3kc`kr0fsq zCVoW6ImJs_8p1HPs|t?@(%CHw`I`tA)NEg{cCxi6@D%<&V|<~ zUeloUE)Z#|WY{8ml-LeGZX7gah8S#LTjX#Mu)AiZZW}3s0U2CHuT!MFu`m}v!@^K& zkpId`V&fdKhSskI**RuN)M0V~5dx`K)kdC8l*&1L2qVyjwX zXyodx%^@j1_pvxgs>)bORPGcHV1C~~P$RHWXSzv&*|~s}=_pUwNh54UCIzFV;0Z&IOhBY&P6F149NO(c za`}&t`amS+YOfFYgm7oQ-5~ZP67^rz(AT+{ z$ekwBp)A&@yL)M;TZ_(#9F#Ae-!$O^<^=Ab0jAAt`E(4~zS!+n;@fM3z89e3EJ4aL za2H85?)X&bz*DfVRiz!|FXG~%&hnx|5^q2*vM zr+LyLYrO(c!d2wdnrswLVI3GXD{jY~q_zXOup0N=$6Tg2&nzHS1xCGg>&pROwzJ}x z%|%dBaBky8XJDg=31>%A~6Amn5HD(|A-J6Z*SaTqv2O2-(A|W)aYI| znd0W^0+0?wRZoE=2diRvBkbR!gLN5|24n%$nNpOy2YDLA4KjsM}~ zK^_NJk%!{tV+QO4{3F!dU3kAJmFK}#@Xiq6;^dvNWvPh1oTl_?c%zeh6T z7hUelCEHf@_P019^47Nx0yo&?NKK4J+K*+Ic(a=3jU>alO}X}2IBQhh#Vh-$03(3^R6VdkC zc3BudLfY)YnD^?|)vCK1gk*cw{VtmWve!p~{*=B#L*~GV=3TYxu;uDLy*J04i`m-pIC{JqSqd?Un754FvCDj7-BM1%gM z9t{csT7yjK65a9jvl2LBle>!3tUAXsEuY44<;?hd_&$`89+Ia&eB?< zNiYa9?!A$U$LbA7NX$j{Rw}0&-!Ep}3c3XpDGdXgEvw~(5G%i)|-|*FgG% zKa1TaW}W@Na_grSGKV209ocu09R;%-lnf!u(X;hIKnGXR$A}k3j7=TNxxpP%Ve6M8 z8z9To2E(w$XIv%vrj#}RH$la{py)YK(PT0PP{CDHLy>Z61l2Mx>x9NkxsVj&d1Up_&!H$8`218fniAI zBUW`tID=e(b^4HCvLI$|`{@`gjEzar z(UGPsA*G)AIDR8h`nzM15VDl61!_q3An-C`kE0e?l>1zi6Zn zYs4&&KB!d!6T(eOMC7bs3i)8dX=95=JjC7^5YKPtC7>|ZS$=8h)^RZk+KcrjlK&~pv z8H9yt@Lx%rm(Z1)`Ic7{Oxiy6P~kbyIb7H+?uipz0KKf;OTUHFbIZOn3A^TO131-7 zbNWX!bfYR83~Cz$sIlCO}*Y@&8xAE-U_J4W3Uiap_ zD448aP?do0X^CQqcmGmEuhKetpuko18P;)HNAD1Y83muL&l1Oi`s^auKz1xUVfDDC z|CQTvt^%BV1@t-oKTwH@%}6ra+B)ul1D0J51CM;Q_7K&iD`JXE(S_#)fRWSl7d>bwh{BzHsJ zySoi-JXm+O>QDjc9JL(k%6u!=)hS~2Y+V%fM8N5>LlFEr<3I_-6@)JlFYRE%^cDlm?R(~1D@oNvlY(%A zy2J$Dq4zH~o+DgN5%R789uAThz0*OVngzMA&^vw89DmTcX@=E_%tBKT6h%+}g$Vh8 zeWkS!n#tA(us}n%D^L*DJOh3@&lm@6{|A!4t^N{6jQZ*L!@8diG9cc?UPP+W>OF7^ zSCJ3vXcvR1OaiSk``#I&iT$k6jTimwA941pPP@r+EX&Dzb;~if`W3(dSJ4VYX6{$C zo>L`w=bCp(^Nfr~Xr7)l`tE$^u=<+cQ$kHMVw0S9_wy*xY9H|=bEActyPipq@fEuu zm*BWS>%Bia_2a!p8%x8<-kMF}x)ZEShgOpM|3w_IL2}3!mYeDhkqkYrX0S4e`WWO4 zgX*Vv4TB1KRn-0E@`CY@`JljLcN4$hnGa$Kmv%P9Na6uRNJ*UJ-3+(D`-)a#9qoU* z3J2poW25J6X(c1JM?BHyZ7pGWoOXDqYuVYYCy)QnP~{aY#qKrE}6c@M$mDCUyRzoc$`9N0~L2PiAodPnRaPxP#WbDUynrYFAv zdqF7$&!3sSNwliKYR^@j5%i9?RrPj8%r1RF!EaC)k;e$80dmy#LS?;$%A zCbtB~>WAydV@n*aRP9}<(bmU5RnkWBlaoV+bfmbQ&CN0)L zgZ4@&JOg+vbMo7~!;@&}YLfj4npI2DgnU0h%TaQNHO9gs8Q#`V$Ol@QG>lRe1?f z%`!HZp3H0@Amqfcp(jGGUkz$d06`53Og6jDRf`30s;%pbDN!c@r+Y{*srwEfi{MLu zjXk>o?8gDzm;*iP*io{nGvcw0*p&7u!KTfBK;|o?Wpzg%3{yUzA^Hp+$lx8YNo}uT zUi(6-8STtLE6AL)OdZg^q$`8y_g8JsgLTU3`ytyRnNOu#U3}^vO`r{opXTADnW8$a!YP<`TN07#ld;<#g-2+D7k} z9}ykCgEAl>F;M$LXi)@ZH87Plf9=U6TEi>7UgQ_d%VW{>ah?oW9rg>k(Z0mMSjMT_ z-i)GoD@ASMM4((_CO*(jw)7#w<+{kifjlC{J1v3OJ{Xi)ZY(kn?5Dz8Rb=@1#ggqo)XL`*TfZDp{K` zaOGF)#;684w_!82+(5?vHDRMtG$d1AR{jgvvwllN{S~`IsVjCoq#dlF;C$&ofsLQ)5z8)Z>#B0gfeOV z=BR^)FAAinh35o13P?;@b|8$6Ag8; zBpM8LsC{xnT?}zkZefrpDan_Qnnk#J5*!70nHFJ_D$h_2b@r%3SCWmpQt^}Fl)Vx) zFwO+$wb64n8tG)%s;LcOuuFH9#vp`Ey~-JFCBnG4kfMa;Pn3?g)eA5(XN@Q0Jo zo5n_5nfRvBUMc9_@H(XUH4A@1&~;_h>3J9I(VDAGN!XPV*r}RSIk<^eCQXa5c;|a6 zaVYMLuCJlpnQyc1?THhX%Oa*)1YfI?qeLt*o-}*;O9^r%ufv5>;D7hRzKAT{`#(|a z6s>MYT0hN)1kHKfui6{5fddhpW!Zwm$G5E{UE7VqX;@7@HBn8Ec|1BrcLGMPT>PeC z)cOI$XqGO&hHNP&+pn@^gsqqQb>C)3=sKItx9x&d5cbk1DH*F~)3^xma+2PMcvX_- zHl_Vo$4MF;=CT1wapx=@4AJcd8CH42YO1-zT*i2v;ov&rf)-B)M4kjr_}UEfA=5haHVQPohKa9(|o;x&mA@XSfEmD!%dkjz{( z@@nPTtdLlBFmA&wxNKM$r7wjf{WibP`O?P< z+AQ^xx`L9@loZ6r=fwXgzHZ`PR5$cz4g-g|VTMMAw$2vC_NvPrPCWD7A<9Yp$O`Tg za(SOLwG!kW?D-_wDs1JlF=W*;gSh^pi8y3|bGDz^805bvt8qJ(S zs-`+UN~o$#49BacSgkIz`?eSiXnSn9sN?fl(ps!>2-rbZ*nY_t0&IA@Z0v-|tqU8J zFhm>tF=K6lb7ZnFVDkRr;^@f9@Jc9?&|vX2$;5}+m0bLpP;ivi5i91L))|Wkv8R)v z5C$}ICEOaXKx*c^;wa))c?B2Uzhj+|SNPGy4~G+PA=VosR$u)y!Ex@P*QdK3w)6SL zOLbE`It}qvYER>qM0@-%(dfLjlbXV?-&6Y^myIsd z<*cA>r(nZ*Poyb+&O2aMg3m&L#U5NZ1(xZHrRlB9LpV{W0!wr}aa& z#*a9T_~E4nQU}Lf%Kd#aZ~l%{-5fM3>9vpAk^fJRwK}7^TKXe=1fT3DRD>|1+`-Tv zM6FSd%bf|cW3w-u(his)N>151^{bIDav9!*b+oJ1ofVmJsO9cA$O^Zy*|8-lSs;rC zSZU0}RL+>F`+C60DTMTFI7Gn;FmI`KjYr9bMq}sJ{iv>h!1qc&4UTM&GZDEbLSTCs zOG)f?5OAR3#(<4sOch!#+t6?TzI@=Ud|IU z?}T{0p;7uG5J`>qNiRg&eGmgOi$Zw(3Si6f6-IeAOzfvdA#}POI;Y3B^D*q*6D6!z zQ!EJKnnPcc<`hXp%0mAWaNm?4x?1!xad>j!gRn{3kQg}0;nF=oa11%ook5Ws7#VCn z`)D_;-!hMEUyydjLfhwu#J278h!Qm$;zE8s^x6so&H}B$8eKr#NN<2Ho%WmtVYCjM zX6%C#@lVx0h}mL&^fORM$g1X2Z0U&;4me9JG|tS`M@Usu+U;tuY7!Lj1sFx=Du} z0%20oPwo&vITTRAReYw_U_?sgGc5E3nXcB?rNGXxDP`=0VXfzMgmVz#sjIJC`R=16 z7A;-f{G}{T5e^)GI-;MFLO(4&cxP$v&^j8oumn&oy1-U62_q0rG6l}4`&iv=vL~#% zWW$bD*?IBVJ#m8n&H$?1_Y(=}|A#$g|4kavUy7!cl`zx!K`S}v(|uG8%6;)U6eH)7 zu;2w^2-2m^o^#+3=OtD}+<(3w^l~+%U3i~0(zve>J{?2a*%JEt9F==jNEDxYvUX;} z%nN&I*Gf`niq~5ssk>tKXhdI&;xtn=!s7FKB7}&-7|U;De%~Y^*GSIPV-U;l+7z7! zJi^tZS%2%kpIuH|i3E(%r_gPdck20S7ier4mS9QBCf6T%jT{?gWWzHG8&j!7s6HNn z^=P9ki<AUsJ2m?12DgQY`3*KLTVz$Hnuz}g;Je!+oBmfS0x4|eS68E8WdmDvyosh_p$Az zH7~9tYhN;At-G2Zafrd7YYWf*;0M6iDg^(BnZ>Xc?8#HQ`s{-@pcsPZ1;T8&L8jgd zQ!GN62b6dE^>~w4@C4mV%qv)n+9CzQ%!>F3f%@SM0I?NgK?wVV0%1Z$e1t%M#+$r? zCuv1qL3lp=MS(DnB0fT(8}J5zaAgbS-Us0~1;X@+ScO10;tc>zWaY2u+eU#fYa-Sm z&`s>&lb^G49|BMyOqPgs2-KfFeDWt&?k|Co0%49stV5sy?BSD44JtVB83)4Di1-YF zZpNFuf~VBi9>TvVCd`P4j}YhA1VZ%}f#R$r!=R)kw!#-sBZL!@kmz zhp(VOn0OE$ArNwW1bU5@mQyA|Nr5omAl4xea#{q!Ntu^tITcqb6bRD{Vif}6v__x} zw6vTy21*Kq*#)rMz#Aj~C*bqJ*L zfT2$?pfld!K$t=h>k#N6yvZvVI+d1|0_;?Z2{Q*`9RfW}9~KPt($Z4+B$N~g69!@( z0-N24tf}p5+Q{N@4Ck4pgE7F@A2jay$G@F=5I+4#ZFt*eL2eOJ8gw z1;R{y9EkR6UV-fctjM!m)eOHW5GLruDr`8m0lv<*hL)B;6+=mZFfSk0A<%I8u)ubJ zmX>QKLP>!z9Us;q&l-+|&Xk1;WI7ScgC_(1!)X`q9!dKvNztpB@Kdv!q}c8$-*@v)}>6glY6R z5DGzf-LMK;S_Ym0B?ZFld02<9dx<_Q81`>kTK*3ylmcPWJgh^Ym+8ZTVS8w4`O97? zDG=t$!#V_dg+448el{&Fw_(pyAWV^mbqMq-eONI3ZdzJy*BXwQ@i@?HD$pnfbjLMN zQcRc-j|07~0?{wYv;6II4upB|I1s~7FnkjQw%m0t2g3As9B4FsSTLM^Zl2}tXE_jN zz2iV*7|@8TX=%ADI}`KQVHFO`n|K32 zx6{({59~JzglX%r4uRgH4-1}SBx8B#TMmTT>NwCu73d>cTBsDEm@r8l2Xd-F>ln~K z5hDe{+;munuR}`2Hi@*fJh}%;3WO=?unvJ-c#~IPr@fnJdHf0ZivnRLI(&pcZ{rOB z{galKC%%S~0$~C=tV1A_N(jV&El(!lFA9Wt=kO5%O~D%gT1HFD5d3cngz4t64uNnO z5QtXfS)N`Ce^DUJGKY^4Xe!?16_lPzOUqDAlwoo?tV19-eOOR>3j?y^KT%AWQx5A8 zh|y6&X(^@f!9^h((@I($ez$1}G^I=7PgId|f5pV4^qrV;OlFloaT| zufHzDIs`(Qi$DWd`QjWXDbS&-eP?1F0@2R|pywFSOGi1-|3;4Li**R}KHdP(2dsSM zH7F^j)R!$fQB?bE9`7ifj9RhvG9=@Xr#W(P83UqAgYlk_I z%1z$w$AI6|CTDt2zn3@=N^fZRyCtlg_)jP)rc9qByRZ&lSHm8@Tfs`FrmGxxG~ddB z=BYsVRe;fT3;d>-PAqv}>w@|0;k$cS`8M(f3Y1MeJQ(Znbqnw&&+^{ctem_XN($7~ zr#E&i1)|gkKzB2sGW-AvL`wgAhy#7X9=IS6)Yl3L9Rl0v%)JXZQ+iQ}0vl|D#n1w1_>dxSExp6Kqo{Mz|^f`Mt{R~!qbr?ztRIqyitwW$MRG`~g=^Mv^ z3NL4>6#~_%KrgX!g}{N%eD1pEu?~Ui@g~nQT_t0GZ}^M8?yOJuYi&|x%Jg*zn`a5& z>nYIL?G1gg3Nd|&H-JfG<=1CHNrBG2Z1PmBL!jmCVddql{I}Nd^G5t*Db^v-SL|UW z&Jw`*4W9_T&;OJyd=SX10=>=3M#M;8cR|~REm(&@KK8Iu{eab4!!NpYZVd-g$E>o2 z0k0{7l42?vKH>-mYG4m%oXX1oyvTuy|8*@TM0_0*J~Vj7EvyW#g_2^r_?P`-unvI& z?BNVmD6ZvVqxYqk)IZFDR;oZ%tZc@9qnIum_68kS#Pqca6l7%>3kr1kC->Ci9|)u} ziW!HnBG0n!75GhouK0zmwh`zX_HZVCIsko(|3rcMT)bYJEvwkWnK<77X#G|2n*#NH zb_RYaeO)8o+p4}*~6JjS-J59loaUdU41p8Yf^!>vvTuI z9O#M0~QqMx&^Oq#Xk^8?Zo$= z#fm)3k2pLO==$jG{jdswa0Am)}h%IScgCx z*~3{+v$72boC4ie^PNU?n^d53R_-q5K)1KNdn?uf^f$scxx7G!e%JM8#cQBy6Z5-&XpFf|7b%+Uv z0Xnk^Cnc1>-2}hs*WGi$3$$Ahh>i~c)i9uaD3B=7z0X`n+lfHi*uyGyc=jtCXwXN$ zx8O4bQm0bY5e9tlbq+N6zhN9~3bcbgoP8xL4De%Ux;-Gw39uYJ%yDiq(%z#z(;2s#ySN0FMBw9IV%rqH2&bumVF%PXBDWGmEv1a zQcMq>_gNhWqMw;(nR6NglJK7>(8EKXN3x->i?D}t{*RSM`#?#79{I2j?PzS1`kFb< zGoas*(Nds){*>&(Is}TchjZqz^4JwnQlQ7qZPKVQ5Yi7koO>}VkK<5KpeKJiMWcdt_Hgb$Sa|};nF0+tXWIm< zLrfGJFim7YxjQ+~(@%b)bwP|hoclQ|yJm5qXXZZt7}g=CID0r(r3P}C0}b8LX9EXH zs6a9+6>YYZoc$aA1I4t5J*>WomW8JjK}j2Kdt&9oSck9MOCMUQhtsm~R1cIC=-D|_ z2V)%q{fakvmg~sB?TI`eK4gA1p19Wv{bKVK&M~JfkvFA&<;kReJT(u3wwn* z&~uM(dLEx4(0;thvwV0yEep@U|E90A&;ICHtV5s!^r7X$!L%$acnwMlRJzTv2kQ{% zAl?9`akMP7Y=n{mJ%3go4bvg|(DGp|13L3O4)nrf@iVXvG5wD|w0y`|P~lk(9B5?K za*Ya9V*Bto1ui^C!}Q|TiCeJ_F{S83%SYESpmQIGlD6sPGpPlM0?|RtvwSp^0iCDK zmRBB?AI3Vw)IlFwK6;k{U2rj!6w|A-LK+o_D$q&>bfHFcul+bn!z8IdjQ9#^s%eU8 zRH1D!KEu}?VL%_BNz20GN1>!ZqaVF;Ce|U)QTovGF;0NIyuynYz;6mP=7SjRUwG~P1i7}g;Wy~(qDOlL-3;pO`| z(1d^f?I{kF#v1@)uPwY%Qy$)UpJ>y(lL6KArDb6sTsG0yz4?7J9R++{Mg@9;0bTW1 z4m7dgJ=!e@#75szGnD~dUB!W%k331g7=chdgErN8X<7Iu{F}aR()*Y9!#V`Y(ubCs zU9>E`_5mm{9DQh+hn)yLe%-&|HwBt}#;1F*3W2)tCeJeOR$3PJy9`PS zH09x!%drlD2;KnDNLm*DS!;ON%zoEemfM3nc}5XY&O!u?~RX2f-L<;b3&Tnh1ZxRg8?W+X)bGu?T}Z1jIe7 zY$2{$)<)@qV6t`M3^-Z3`_$(u_mar-r-C!~+D{<_!;Xqiqb}c~zsez{WB0(PJ=WZY zdpaP-oxFEY#&u$De2G7~;+Ws%vd8cMsu-I4SJi<6eL`_a;VLff*#9G7`?*3$9di_8Ps2ep&Sa9Ksx(>MDz+ z(=;}kpl2j}8W3}bxJwXmH-|VnKz-FCI&iiL8c)5q&skSbMr^8!LD1PR!rHP<|Z3r5*3)B=`|On3C2HAl?9NN7{g^ z`0}18;jly&575H7lxhfTUTty`{E{?=vfw26LL^Fa6z04>ErbrbbN&PH!Bu<(#mjx+ zsAqDPX3l|J0B=H0)3>6Kx}oMxe>gjK-kZ@FJu9aL+V&;=dgL5*f8u0urPf-_Nee@R zv%t1Tc0tCf&RxbKJRzR0y742^wvZ(?-ZuO>j zAQ<*$cf@MUQHa47f`g9W0ifC64vN@39WA46vZ5dN1LD)%jjlS`CnyqzdtU*kX*)4T zVW%laVaxe=LIWaHrit#s6#12FJ|$O(f&`+nZZ${*-O}pA&kv zyVy<1U}^olL=^KzNFl)xi57e1UO)<0@t-JiP8{IHhJl6HS?HPfL$6h;R^<|Gc@RIbw8kj ztN2>AftvpoW~!zx&YM>rBdd-XJ+1bS=#YI)i;@t|7yP&ULQ3~xM3nadD!7WT(^{$d zFoGvNxb8idpZCR3a(YAanUBjQy_OKyUyUASVMQ<;)I%1@XV~>>8#O;TIFZ9bRIS_q z`R2aQDE`LH$}}yQdE>{E9O+==Mt=YT?YScv-FwsM3fS*t%?zj102yCFfQ+wAr>N3dp;@{vJj+2f%LBd%iJwOJc zM718S;v2L!YFq%4USXmXJa4iN0%Uw=IN`Lq^SiKkDvGBY=eKy2=It96g_T5NT_l}> zlaLFtzP8%mra=~4S=sv^A<(s~{>Ox}U*6m7%VitlkZD`d5A^*2jo_^4My(Orcmk1x z>3}}ldm^!)XAL)A8&Q#o@4l&=b6Zs4>k9;Cu*h!BtEpxONf(m?mIU zSoE7Ud5}lo6f<+*c4^M*jn_P$jksxMLSw>ljJ<$r8C=EvX;b-h1GE^ZhtA|9YbTP- zw;3X4!lIN;H@mQ*?8NBHYe#ehl5Mr`M%|7u9;B60`a#-hfc-(h3Rm#}irwUD55AsB z7F;H^5YpT(o@qKQIjyo<71d4>HH2G7NylaN%_l>uqVE{Ue>9ny#ak~M*T>d+aGCVq13 zTW~a_bH_K4SOntWbR-P{0?_xFPx66kPcyzjiCvLcsN0!22z5IrXF4q-&m(Ho2)8|| zor>rHgj}_tHiT}f#b59xeKpkrxQN8gLMpeL-mof9Nu~m;r*F4ql<|pyjS!zz zKi`KP{tV!PtC(rR-TEaJ_Fxy}ubg(2G?v>H$B0IcAP1UOL31N8-FP60*uV}kc_%&f zQ+wZ?ie1sp7NO(rV0Z;w#kWC8^(5MK=$u{!sW1vd$lkc+p3P+IJ&KXF>>eIr=X^?> zdPotYBk=7ed_dM9pjgn(&ATojyDu;z>l`Kde4YLiE`sMVe11WTi`W-h$mcY!UwY_Q zA?Cb3cy9}NAmwPR`aT=7Js5Lm%$e_$tU=bHdcmj19cn8zHjNRj=;S+o>O`XSRuX=4 zdbkq0S&fPFxx?DfmG8^NF(yvTqaAO-(c*OWx1J4!6cZ9V#;|K;g zI3QPuBQCPzK1-h@bwi9D94iO}<<9vFT^S7g?pGu|5;mgbf@=^(X_{n^!A@pjaMtyK z!&rTcSnJB^VXTUnHSrG0FY)LCuj~n|$ZLt^TJOb-74dnGT9w8PR|dQ(k5aYc8IN^D z#QEOHKVZ<46VSW!Qh^aKz_|=2ibM)EE0*7EqPx^=}EcQ)|6#zq@#95DkQ}5 z+^Q`zLyJR+pU9^WjGg%ehBYC+giA_P2fI-|q=yN!voR|L zI2*HCFfNIPZOn9t(v(!q4N->&BxOS`o72vgqNL=#gGxy^#1P7v9rPQr=RrZ=n|m5}|} zrX`sF{q^W8LCHcC7g&HDX}*l4 zjy0Yjn zCcLwe`NCEFB-ZiHM%q>+Q1nOUpHqDb8BvpGh~Y4~YJwWW!InIRu9xMn9>)|Cw)G3HV*nra-92$ z1q$*HEr@GQFvQtM3kUC4)W>+gaus4I*6IBU-GHf*f%UB=>FrIirmc|57mhcpN4`Q& zq}`3%zDWvYc;qW#Go1c0st{I0N~uk2`RN5oA2WL_eFe^Zy=v@_nmT^wE8);B2H5hc zfCjE&Gl`w_WlL8Phwjmc4w;*Xtw<#9I-&bTWV9BuEqF`dSs4!Im%rwMiT z7D)A3b05ZZsMzJqB$}&sC|`xW4Qs!4L2}+r4refxY?w1Vp7!XAPE4!B*Ty*gu^aKz z^724-WAJ@w%H3eo+5*AWzBh8j6M@1&*xzU_1?48BwZ4L2Yu*?HI;i z5wJqg5mRj5A33&(bRILU`$|Qc);uB zQc@ac+nkD7&0$}&{;(#AQT^mp{~Th4ZpDv4B?+!#JJwOEr`e?-1SZ!~{C4&K+t6?M62C+#+1-3{RGH2X6k(~KA zC41rcDeiM_gJ2{)s!qknK7ZC;@jxbqqC}GP(6olAI>j%ZtQkQy0@|JrAgay>MstpG zT`-wwY%H~7sGh768lcTpaVlcg91|ZNC%ONROMb_BJt)*EhQPEKv%ix!bf+3^; zTHClG^p&2i%%+$jbft4DSvASH6sq;9hEU>)Y6t#t#&G`hstFrV41DvwR8lYm z&OpPLA=z58Gp!BL!TryQQfXs{%|k7=IZ;bTj{J$!_iNh6gTP?tuOV2hz&KR-imyq< z3Zty3*whph%F4D~PIK@HVLfM;QPRDRNYyz%7;fPz9tEW~=P|N)h@}r+?j+6zXQH{` ztyIY447`!f0MFG7g`uq1klo}OXgFVRjYfRkg`A85pkdUOZz8r8Ta2R?+bAdFi-yJ_ zV(5$*p8cjl8zL9}48cKG?EVrg=9RlTZKRP&BhnR)+0td39E%`_hSKt@mFS@zfU#*- zy)m>AoD}J>c$0S?K?%Mz|2wkmG&6T^#;oP>8QXJ_7{)B9bMKg%CYYfBk`3v+Cjq$mDsCLb zZQ=}&?<&YtN2wYTl+Q0P+4NfFFI4T^KuPEoLRw7HU9D>nDi>mX~kEa z9gzLwrSyA|NMu9;GxaK()fB0dPt6J4I|2;hxQM$O3|FhClEx-iBG%d1B!&X+RjX}w zr-A(@2Ii8oo}>-Qlk1;vXw5YU`=p}oK$b&Jxk|T*1IwL7*aaY#BZgq)h?4ifn>|s2 z-P*_EF6{dJ2#J4T+-rMz*}LJeZ*(d?I!4mi0pV~~kML>ifw$Biz}cy0)bnG~U`WnX zUV#B`oRLUt#q^|C99#Kb#A=LzyL+Hd44P9u;j#g)V%Q6Y9}^LPULq@Wzz*$&m8EFf zFCBK^12qs}EEc=s)Z?&qwYmf5h9>cG3kbI`;VQ-%s*7WwUUO=uOAX^YiGrF~@9B`W z9oQsJ;$oP@ml!5-C^ptFw$JZ!L}|uAIVkFx=Zvh^WoiJ-N|4yNHUxcO-SjbJgDFT* z-8X7Lvsg5$?Li^y^JvkVN zIfebplcTgz-E1j|D%pLXiD4L3SbLo`UvRMp@u~(~g`I<%#rzhzap_~zOkns z8|E*)u0BoB#f0r;ooKBUykb+dm(}Hx#@v9ueP z0D5wll$6v+8W$?J05-UaXHmQck_INPU=l+4kJ@XU&dIgcg#6=dOaA1x>ls6g8&*~H z|4q~dt6DEJ)$Y0<2x0gAMuorX7d1d@+TplOTX^D^avgAXPmGWW4x@(x1zb-e*9=Vi z94=tS6jq!&5X%P4u2 zeI4FpQ~0eYF7z6dWKOe(Z-UnT9WcRFJhx|SVJDhxnNQdZj%7P-VQF60TQYII5;1u} zNZF9KGk_Sml2)y@(Xb@V%K7;9>#HY{nn}hswp`wkO*PH+xoAd2G26{ggE#WSo{fZM zRL%qQ2V80X53I2NXT(}pz^$+(W|;qv7zXL1o)}@+(e(pdY1SVjCvHakoW@fW3>YelQfrQmY$gq0J&LJK!H%)+MTn=IjtHAxNy@F89FdqQ8f_|^U5CPGPDGw)ON^+yYe^tC z&at?^)g3KuxffHdA9&Da*OM{LgV%CFJ5PJ9rfNgFwGgh-AY6YbgzJAkmdR~*1aoD! z9i2~J^&O9nREm;kh?OQNIYX=Z=E zh6p_k!d{(+D_cloYs{4nHAZs&#Hto24LQ%2qw#)P*Db*0XL*dtx|GnJXn=^yh-Q(@GLBa_wZ1+F)(o zfX7&p1;t#xVKeb>Fli_9#V?IC21?_D_L0{pzG1NjJg$Y z!d1K!k;6wAdIlC!$??<|L;0aA8abhSe1kK$A}ahq^**L~J}QH%`;`8((NuGQkFt^WqxLNn9sg z!!4s&O(O&i2E3XH z7L}cs0S{coUW(7ulen!z#r@9X3yHGG5f!>V^+U7SR@gV2w-9Hz>yvC?+-Pv3a3%Vj z`ZkEDx{*s@y;M6i)#6gxD|g9$rL*PUJMC$0VShHfl*=Yx&zC}IXyIlUKF}pQj*vz% zmaw%qib7cGT-A}zU=(MAE(r;DwcT|Y@N!PE0`aQUJQ!}_DsF&Mqh?fweF7ts@>$@) zTRF>U9NOP`4I~IiHN*y%q@%$7|H_=`Pr*etA67r&(+HZ%zi@!XLEhCimBibOtBzsI zs-hSKNzW3&ulaJrRkCC3ha6iNL|yMj|egHJtbG*X-lz zp3*86ISnti?*@G0uHSiZ;YiiB1yPljah z^X`O{LwaB15}Nn*H;5TJ#K4HbNJV3yLssPTAuIBQRwdVPK`=PQ6*xcbG3zjXzoDrn*+;nY+z2z>Z@GD%6p-)J6163 zWmV1oFv%Q^C8Dd-qJ*lA>hA8F>xw1P;<02dH6CNXdWar*8+(D%#%hYW8*PYaHpU#t zBVd=!l@O{*MX_YIE$y-G!L`$-mika?P;1_XmXJ3$S{HLj8-E9Ua1}S53@AGYcQs=AN~FdfNgx*ReSZdzN@6C>y>NtTaec_nzzRT|lH?O(VDojs!~ zn-)OG_gw$e6Co^^%7EX22i--)I;fA!=Ng!F+kYZ@++bl#)TKKo2_EN8O>0mxBkLDN^{1C#9S;sjJM5;YG74pCQ;Xy5n%M zvKA3i0)}5)3kV6&!#H$}q$-?n2~}%CIa}4&X}8IM7La@=^`L8}C`|%D$x`{eeqoB# zb{IRjB`PUm=loZ|%M6++dIf#GV>C*HdbSdzw7@jCH62IES`*f~S5;}6hE;>Mg2uVP zwX<+fguu;MMz0~|p!x_gn^3VTD7dy2k_pfm72uw@Wb4Z*?>Oh2-Z5-!NDfTX$riOU zJ0OLtcpXJKH>|CHB4s&JugMlk7& zqDm`BKHb9w(eg#lciquKo+Ref(eDG&f37VSv|ko1889my!^pTyukUPqC!3PS?%3f> z;~_608}e&H5Vde_cU(_#cVic_#C*(rGt*y_Io*ei4NIG33Qw&-U1~EO8fHDlGnFQtBwYi8AAVmWUw&_^ ztLxRPs#oV74mW8myDbn2_$J-zQLvW4&U28`A$vv!Z_obMLe~;JP=RJ<%^$4mLj37E ze#5r!_m~~dGYOA)s4?vao=*R34HA@b#Jt~Kv6$3!^<=W+f>%nxq1IKsW#(=#ja{Ow4{K2>Kadz^)4h><;9W_G`hn>(d%cxPUXccFymL`>o0Mxg&Ym zM_e!t#&XKFf!Kd13i%{|wY6S*c2_(M?CbVesDPkkH-SJ(HF&l3+Ac&byOy7XF`?oS zG+ieuJL2w`mD=tDknG0!s|AuHSU7Ej`%6EC?77e5zI@qd;YrlOmQ;QGhMPUU+Okhm z$u!2&iOVP6yIBC_Hwu|?KNSq&B(gm;n%ya9X?I0z4UK?iHZX zvlO_Y18%|d7ZHb}Q>LcshJcjmeKD89ysu76_p6tP4=c;mkZQ>>dCaBToSQ z2Ncb#18+0ridWT2`5~FFzsu6mE6g(($%XE>uQ2FCVu&9^c!0A(;rXbGA-zg6lWzt? z^39u^YcQhBOs96vz1r=f$u|+zu5(2WW1Dt}0%e;9WQK50+RVMFf!v!Qw`m9FuQfMK zAu(&yN&yTo9) zG_MHlJ?nR(TReOfyoL#q6n%|A2^^=Cqu1^umtpUL%Xq1EV*diO_dtd?{y)734!4Gh zmFC?SAmYkp1T>{_hDb1rJ|$3|5DD&)BM_mnM3n+Vq^f7VI=ZBSLEEW_mjHGRS6jnH@dqNOGl)MJRio6d~`QXmoobl{i@@_~? zCCZnKR$O%OaU^XgHsax9mdPLZh61T^41eot+S<7eP`Q&gl6z;jbRqe(RB4_=7z2DL{rt1&SWaO zbiwAh?z3$O{0ip(YBt|sF*i_2!|EUyQaokET2ekj~%V}=eYNyyLa>>a1j3WP;2W!&R-A0Y=aM3E2tk;^;x z9d*;bA65o>BpS98GVqfb8=olrWEd}IxJuTpn?g2B;lDRsRTB!=Ro~%MX!%k)Vh4WE z>R@(n&O*~V&LAX)P>pfjdg8k&rleeRr2aRpjXP;%l_?A@EZYYIkz_V*zL1O%b5Bxh zblYK=kABoo(u#_;DD2(_)qM54nlx<)-rM3ayHep24$qd8;h76z%wbT`yg%UD_6Ps< z6-jn&iz^S>Nm+P+nY)&>h6o&FX3nf7zN>%Aq@I~6_4~_82cJ39FPD$+JsPK3{h_Gc zJOoL=h^m<+Fjx~f3CboVT#xLYM6pEvr90aYpM;`TZ~d<7uE(@AfQ zEM#CBi8JpF9z}eEJ3?>JuG-}5A`=w7+*#v$1;*roEj4IkM@M>pn@yM0g#vz^>>2+W z%yJ6^zn#@E)}4*_SD^YcWlIUnM=%+!ab}Y9mYmSEyquY2V&3I$-i# z@#z#UcTOkkAKX}hZvN@DZ46&G39T4w{i z>_L^Px`SJBWUyFuy#IZs@3X*ll38VsAkC{<@foc_f-#jg*m$AyD5>e|@%sDM^vOrK zk-;<721OZB-CL4!RNZG2qVI@pSzD6~hMM~Z4Yi!vX=UC!q-vBSp+~CTO@*NNPgwX-nhwoHzusGi#*!^q%<<$|7T!0O_0>etshy}({g`J9~{if6?1 zDi^nJ4HxEfV*WMcbRT(&c(c#ANWS^$K+e|p)ze=Y?TX9Co{C1IsP9R_&NJk0fyHMc zY~TjG1-=OXgs1o{{H-fBgfIyMSQ&@W0e^HQj)NSf$(>P}Q1HS;q386xIon6_5&1qci`l-aRB1tYHZ zMqA<s*U4EV!1N0G(eZKLt8Ndq#Okux3nX1&iwyf$HsSkOTbp67R?umTqcY@(& zR|7+3sO5b@fK0MoWMOD+81PgC)F*7%qTP^4vzyxAI#g|wZ zS^@&@oJLjkPm3x^?U$~sT>FKj?}6h+#{u`R`yc_4UrfaK z%bUR;v*a8lE#-)|=S7$Q#GHFRkt4tBx9rdz1U-1^pf%cjA)vuzU&q#HKyqHv$UzIu zZU@Ew?SwE&!=&fU#O(}8bhM=P9Dr#$Es8Ha8O*$7G@F~T7cP9WgsI>smY>t4CH;EY zL(aGh)8*7)$hPFrwad<7CkmNyG(Fd8oHq-Blr7&3BMsGFFKKKDq|*Uke4)cni`}gD zfWe%dD}aZa$sa>y7aZ<%G)-+{eae`lWZD?f?AB-4>E3o zMjYk%%%en`$t&!Ap$Xt%9g=E#RdMR5up_!^x%&}SCNeHVxaJjv(d2Uct*dzjLC1v_ zH2ie)-Xrd+_cGbqs`u)X>Iiq0*F(5l8q~UK!6?@wjWd~xJ0noQowH&ft0WSk>g)Ip zxTa}4dG*ydJ=u&c#k~3z7FS#kxSKcC;wxH%1n-4(^AR*f*S&ItYcJ18!s-#Y) zceAEc>VI>8AE!7Ik4#|6-^`s4p{hC-a|dO+au7&w9hNR9`-Fgsg{R90 z6|K1I0uo(y7w_QHw!VP(oykhSjOz;9p5|@4uB~B0$j$JG_FVW7sd*&smV*!HqLv(R z*LI8hQ#IWs4NTwWSllk2MBC21mK9%RQpAu|a8#f~0JCbqzc>5IVWjdY{#sVi(R3Ag z6Fw_6ngD3*jQpuJmcS3#)d!kN9B(B0Z+^F|@6ok}mV`p{mh5X8*WQTdtokvNYJ!kd6XX?Y<>M@= zCPVDl1`?NtS#0#*&)_6J+y+1`_jPlRNJtdGTG zqjz6a_72}!*x|E%jYifXqE2&FX3T)+-E%v`uZ_#_Y?5b8~0*$bTNB4`;$K(CUX=1)|FBY(~qFZlOa=Q4ZvO}{nH71<$zbMW1MPW9Pz4Lb8RDxi2x;lz^0dFk9kiohSQC}m za{v&Y;#*q-#-ZHwER8(B7x7*kt3B}NK(4pvf|$!DhPH8}md?Kw4SJ!~3A9Pl!*8gc@PYdmp}1WEJ)GA}iaAJr%|uBG)~%Z8aJsllDfDoZa`#h>HoxEfE}~lk9S?C2 z01Z#^o%9tP=ID(M(z`}<-Gr57!vwLX;ODfbV3{)7;}qaAYTt$MOlmW!b~pY;c^!fu zIjDV2=6}E=b^On7la@bo(tPmbd(~8+dG%>dlsq+2DQbrgxm3I2dk~<=-q=gRa1NHX zkn+<=RxZvX&UBl2>2FWeUSMX5hKW_^2jEA$F(3)hz{QlMe zLHTO*puBe+#;g;6y`F{C7XJwsy0y#p#IpY2hE>s|W7=xB8*?Rs!GL|GK|Ww+0$yzj zI9Qhr@9mT1VTu#Sh zpXvo!IGSVyPS7M^8-$1=g0SsIFa}P7QC7Nk#Q?Hu08h&F1vTMN-Tb*;FU`WyLUtAo zf_xVM!BgCwf)osX$n!Fi7K+~`SNi<*cQr*ukSj*SxGbD9MGmM&GRHK}&n}-Dnf^&q z9`0WAChV0~z8Ook_VyX=j*VDwofA?Hn>O46MJ@77CUY1BIV6cx2)9 zBcx_VCg$E*GeedpScz84XOg&6Z>pXyMIzWsFyVAr0c*UTGcACOD3A<58?pPf^L`~& z2V!nzY1Q7GmiT(N%bVqlVf1IoIm-jG8DO#-4H#4Kr+{Ypw9$5MOB|ef4RQWLu)VQu zQzSnjUv*F1js7e-WII97P+^3?Z7zTdhKg!GKrN_s*UhHxM>Hr2$!g7UyXpwjk5DGSQ;cB_ z0m(DYLahi%gdHv;mvm8TuD(Cl@6yX5kslGaqB@a?t;st8IK~nv!V7Q#(=t!>Y|j;U zku~?nobsxBLt*=Nw^WUJrPX(bpaw)~c6eeoYvUPbavRT&fG65{#`SJq`(b4MnY`St z7{#u4F*sIJuw`_;{J0uwg$!qV8*>J z*)+w|z?=gTzS7DJ$y)&luY>wC-#ogQ)>w zhP0V+GaNE*MsQm;xN@PnWhCpcRBb6h8w)VtDefge1Je_{hi1mjw~!@Um#wK8nwA{B zzk{~;Y}57)jwJilpX2n_PgZJ^0eJ;F6PHZCB|~cGzw1oO`dgE?#eK1S`Q|U6yL^J1cH=0(M8p{aFxZi3!a z3c(cW?2=@A7m&xqq4quQ{|f}Ekzq9d+o+SNET+~xF~S$0t13trjmLkrL&*&Pmn?j6 zu~}d&W8U$gq9>jkMV{#!k5*Kr!wt_p&1FfaFO?z_JgeW-@s<+N!*=3OZ-C8M=w$&7 zI9yl_i?Ls5A@VHLBs|MYqq0w+`Y^Goejvv0YxsVI2$F5dpp&7ir@)U6*8gmkRG!d@ zT=}~$H++$D=|bQw6%N~1J}zUl_!SdP>xK;tNpK(#G^$v5CaFG~H~*E_YouJ=tW2DC zI1EM$ayQuh?A6w2wmT~soj_0Pit&rdDj}tCe$Z@G;YmPpyu?MPnf83GH9$y-kZAni zrx__yfjKLmq$6od$tS8mCmw0mfuxE`-sARJeP7Up%TE652-iIMc(a11crgCPPJS5t zN6@Yksd2%KT4)u#gbNUkDgU1lAZ&m`CI$!@54?fE3eS8bKsT)C!H~rnbkm0V9X>~N-z@)$q}`|B zVU8DvP&@>s1hfiaOO8QBsTsdR`t|Pxp0LMEPgusQ*z!yRm}pSKQ#|x!Fy*L3ofL zgL#N+^#N`|R<+|=>8K^mct!V?g{~#dAlW))IC<15uN@)NUK9LN&CNPXwk8S7=s-os zI33)-@CT`CfrMJ06Ah%s_OH4|iE+0#jB9DymG%~*4aPYBZ3J4# z@zIdcQtJ4(P~@h4%WvVB^gB%XCy0IeuLqD!%e~VYBy_~f`3>cN(NVJL5|78#f5XL6 zl&cG#+vxGcc5c2foQmKWET_Y1dAm`|F?TV#ENl~qGKOx^NKYji z4=P?C=LLkTd=8>WSe2E^p8m5v!we5=7k~**@hA#iI3!?7wCZEajBF2G&dxR<1GOYM zBRO%|wJXW;Yu%jq+1@hSNE~d?y$(yfp^?qNjW)r-cCa+tfMK;?cOJQ^6SVnrT$PO9 zbe7K{1ZJWU)ynlkaEv!0s1ARGkAXZtWBAlh+y0L<-X3?!jWs1yY#V&uG!pH*f|4 z^+5LQdKS4FoZyc_u1f4LZM|e9Xi{26tA7t&1C_%eFHX(Sf|`9%;{8D5DByzAs)dYO z%)tzC9?tT&7=4$f?uZU``!V%HOC>ETqXg&yu;3{kPhoQIILJOSGi$yf9`ASF8ntga z85>ol7i89GLCeHJc+AH4QFy|xfonrE{68}WL1xV$4#%ZiFG^{N>0dUwXl6}HP1(jQ zCTgIy08jA*0ZW5ZgGK{~W!H}<%dh8*n*;OP8buiW9J+^&3z>Rj>_k|utGe)hHw@i~ z{9jlN*Kd93@5IrR?dDI0ZKonSQkl5&pX@`-P<+oR3MoI}_siwGwRF6Edn_ZS(xp@` z+sn&Qr_}ro!}_7$5mn6-w{s=fnq#>sg#zhhQ7rFdvuO4h9qrUFozwEYJ{g=369 zQM{qUQ~Xc-jdC>j>M=56%ETG#-zF97v$490|EZB+^WIxxaUCR^Y5y)MO@%^r-k046&(WvyYt zjXGe+@_9dkEMM@WAj|8qV0oN6?WTB^wg=25?e>7EBp59wwgwED3OY5rU;6J>^7=3F z+D)J40?yY~`)ENwPy>1dYa3^4Is~RP7-&ZWQz1J)k`GKxLhz=t>jD3Sr+6~_*Aggb z)cm%~TK zt+_+Wg_~F17W44c5eXP}6|!S>D5)?W2T$>b6fV;&-%!^`pv&nU9_Xr7y&7}6M_0WX z2t|AgUWq9_JLf0`5SU{Bs5NHbX-aC;HrxF;FvDKHqUk_If3roP#|;e*tcx0IM|S%v zZw(Uf2?iTH4ppB$ozXQjlY!9{!{XHCYQ$ZX&+?k5=55`FahFI^vI5S0*k!8u!QB2x zH1ihr=NxE1cMd0@2A4IPzMw=bV>$fsK(mjZ)*4gDcGHZD*P;WY_9)57waL8Hu=KYC z?XzQLXGjsdBCs!jWHMqpf;45sU*Vtd6wkolY#D)5`zU!AO?sOw;oJTW-gmVu<(lSv z=kj89c1oDR^G|pSyNdk_A&O!FY$w&Uo$gyqHVBD?)#tIR7~%5W@#r!J{kSziK+~}L zdoKKq)HLZaxn_SfwTG(GWWKw*wyz(uX%cCjX4AO5maT$}&wO|Prf}JL0znLy2@T9S zxGm3ysjQXnaQk(j;{TYt)$6wQ3&lEKO5iE3XpJRQ&FY|_IzwpUVQ?@0%@x~O_DDb* z;VtWK%sntl!J)f)Bns0_;hr=r{g4vNHdkN#bt=4b>NQTUyLRhCam=V#wb$X|hL`*m z-pthPOzX{@0iVWHTj&^ga5#ypBYoMtIwGu&^QMQ#!1Ch#CgE_@3ZiBKY7c-0Pw^}Y zl~D(dFXVfNj7{8~Uqklih0^8T`#YHl@9~&8r460$@X%r3w)CRZR%u&qp!WRnq;i2j z9&7lbDwwtn>QKc$iA&j8`BgZdTAJv#!|#t-8;Np)G01F+svyC@afDM~fb>(&BJuL` z9r2yz=Y#_zoaOC3F?9CWVWF^`>ux6+A%if&erl4y(9}Qz$XyB;!Hspl{zx|cDhS*O z4IieW$;6y`J?4B%=~kryAP6d`O zSr>;kxx!vep<{G0;P6nR2-sY~Dp{nO(&GL!mP53tR@dszzROk2d7-wt6-< zxxMau>@;sdW^=&KY%T?G?1+73A!)G{)O(tl%||+u>{X7eob8f>!^_&uz3Ix-AG;zQ zq_s@0tnFpzW$=6fO*mqp<8*YAK*eY2cvAfjP6iLI>}IAaIOBdRVWksb$r!Z?p_vMf zVZH!=V+t+@CGSRE+)wwMMjkrN9~};{;>;by9UDoN2jq7*|5eWW9kCzcI8p_MEc$H% zBj)S?e2s8LH3x1J*|_8!A5NSt!?|s1nEXscoiJ|IwN7;4=29v9^rCEFx^p1~%61LN z0XDl4+@4aom6U%OC&BVBLaL*D4sl|P-%|OhykwQE;8pAm00d9*Hx!`2^I)T6gbJd} zvv(0^Wg~~>(yd$cNMe?|G2!W7rlf*F)rcmA11;IigsKpnHh`#6+%YvXzIcVFnedNn#iZE`AZI^F`he4jjiBo$H! zd`MBlt2S@ocv9t#5v94x9mt1#RRd#QUcy2ANLK9vFB}DMCWVH7wFP1su+M%8MG`Z^ zs_6=WfEWl1()j=JO%OiNHt`pd6X{Yjgc8eUy9lmj=3J_24HB**VPo>ex~Cv%`e{$x zvvc#)a)e8oK0HD3#3P%Z3I-=&WXbQcJy+;lVn-l|z)e}rz9EFa(Ia3+meN?KJ)mfJ ze~2vgiMgT&oFVAxwZFHQI6$oOktH>0OKOy*4CEpNS;+n2kWOvK-L+tv@2};_(zo%L z>2q@>ILr|w6aI@^g9PqR8!r6W#YaiyWj>fiSXm;4^_Qve&*#FwSGadLv-OpoYSiR# zpbOFc_FaNU_*)8{oh(Bh>QpNpKX{DjP5w+;Pw6>F%ORhS_6fDhp2MMteOUc~@KXQ^ zp5pH;ge{qf5kjLRVqGPaL~N?$X>g)pa7{?{>vKPgdunkKXEe%<$wk+(10cdvyyRq% zHSFX7r*_>2=mEXS9nWvtm`hFYZrtF4-v{(>yj@A$d3qmEWt*}ma)IpRX-~PbO?x3k zk+hu~7_(xUtk!yobwt6hA2P4++ZG=syB0&@2lyY2PU$CF4jCr!4u95RH3fJIATon` zDTT<<7_0)!(6|vYWo8T`%m2j-^ZECh2DQ)Ww=^xvLdMB;2(3VvFT>v`CsU6GG)xDD z^XQB^_%O>88Q?c*n&$5fU+@d5N0^3eYXPA%f6E z!a|oNqfoo&il4}uoj%Bw*{a#OGW{bReTuYtqa0j1Nx@mimVUtv!}I}oilY=RcRPV` zjcF_z8dNmzrtxI{O+2erOg2q0pT~jC1e>*u|ENkv68qW*dMVCvnetEaDXX3fv1cBa z73N!zn@baMfw6&y>{Yj%g1hJupxTx(KEtcDBMe0L#e!1A;X< zn>i+a#NQZaqnU!H6ma$%Au>Fa@T401%R?P+Nm)#GG40-sJ{20AM%RvpK?C5Z)6_KQ zs6)pDyA!NL*y1LDKUOvRI!ZIV5I6G*x4F@i&NLl$u6WkgGZMgL3VzkeU}^zF0Zds9 z3INko?XY^^CF5(N;j#G^s9*NsOnN?1NX zD`^%2o!j?kO+&`x_S)c(NMg8IJc<6X{t^y(Z;`?Di_cn+DWT5r9`+C2zS1dMLip(76;A;%u> `u zWrHHXFTWeJjfEQ2ABO$$|qfA z-x5FMOEf{0(#npDa;R>kfH}bg`h=l|GB9FQqq)0E3M^iuskyHOoLRAHv4O;BWACfGKcSXzP&{3YQc z{Q8xpACj{2m_v_D{3y2v-eHufZn)OvQp-LJ2eG4|*YCE4!gToNn$?Mt9gHfM|U)srXZCfN*^=lVwzx#RRPnEB9$~!{1X0yQSd1HTlql zO#Of3G+73w##ydll!{CW|BSFr<;NK97yPX&J$FWByk=14g3{5Xf0>78u`)^0a&?nN z#n2lXQG=WU{1?0hQ~BU2-ii=`#|)03#|~~RM(3fP^QM!kPhCW=`Zyf!{q;vWmp?O6 zY05`(r-QcA)W)wMHGM?O+rF@7_0#$jyn_jh7<~uFbKs{kQ(x9;Yse-|5bgsTW~X4D zLgo6rFGl@TNjpiu1)wtXwn2aj7BhGyjL7TSZM{fiU!OO=w6S+6Z2Rk^X=nJnnV|AQ zIE0O{V~W>qjEy-L%$A8$$OSY>1`LkAp%-!7snl1w0;z^x*E;o>Al~F;l1uMIR$(l? z%|zE=X+ReaNwT}adHa4OOZSQ5edD<>iZfBsUGumwI+99naVos}>kEQa2?a8$ExaJ-eUv%RA=B_OC1 zMKAxLqWtW!i^<%vqKz@>12dz=6IX1hJQiTGecO35n0nX{^t0E4pr5;**Ua0O`_*WA zXlU}c(6bjcb42G_-r}`{(PCF?$dI9Iw28T{6LH*hRaSD`8SZ!U#ef`89*6-6b$uJA zr=oK6=A^@)p(D0JQA=_nEtv37OiH6bW+S#DA*!CHq{mj1X=%Z!s7=lqjhA4`F|lmK zCII*X0D`Buu{FSSY6#_s((l%iN#6;%LJc*4Kz1VSyOiJc!d9P8Esyz=k#uv}x6nb= zPAo^BV!4(q~$k45ss3<Bp~-kM)`b!+Ax7W52-l?VgYZvyiud7fR79IWZONd<Ze_&XkHDyO<_wFRIjeg2&*VVd=aUb_a>3sFen}+23bj3M zk%CEa4CDa{lG`sR!ZW7a!rGJ&Z> z%$bwd)ez;TI$z55a?pL#H_&nt`P(XC2#0Lf1<$yL5TZ#~79mgKZ;Y_iQ98B*ilJ@4 z5Yhk>yYs-tWNL_a|9^ckv9oMAty%eSnBvd_V8K&-82(GY1W$)C z+nz<)cmMn&+5d~6`g-p#Vo@reZaE#0Fi!&%c#4}498!kD11$o<6UivSnEfKe1bZc1 zMEl~!2*&lyaS)-3;XW@!sb0(lXp~BK+5_+KV#5went~Qi9T@hsc_ah~p?PH4n?6^* z?2SNZgtKh0E1tlew7pPyX{_yt=C2E?i87Lv%1xYNWr(?T->)T`z8A$@!)Wjo@0{~Z z+UFgCQ7YM+!bo!rq4GQe1V`Tv9F;J1b&F<|bYs05@-)g`?zUbphr-Fm`HwU>+;oan zAZ44SNE*f_846u6j=&^Ht2BE|n%xIXf<87=XS11?Fnm=u(jUnOcEmbI#_M)ZUF2*= z@@5OJCF;OJN@1|28iFkc&nKzsgM6Qh(W}lda;SD>iOMYhtUx?7TAZLbbEgs16uqVVRQx=-(%}i+^>xa9 zWluLINg7Vd?UT<9ssoR`6{jQIqq0519goao01uwx9EB^;7&<-#fj2tC&3!f`(aq+Z zX-oB*NV;+P0|PS{a?7bfyNVE%U=IKWPjQ|C6_AZS1QK*W5zH+h!!zDc;{jds3_I!) zTO7)AP6*vF=53+y3gm@kj%%OM4zlRZ9|Rjn)KcU>$>-^FFFIUOG%NuOE$vHYK!lW^j|! z+M(~2r*gS?)lXhJa1%!KSSf^fnwg2BTOV%;2)Lq}Jr1WpQd0TtyopkNwrQgHh++pC z5mS>_OBkZ~+nezJz*BM>{>XS03(O>=+2gW*5mNszM>H~BN?mYzn`gRe<*M#`t^Su2 z(hZxNmbw*b`BXKRdKvh7L&up~e&>?-5U1a%wd^^h2U-q)<@G3j=TWUSd9z?lJ{i`8mR&;u5IiO4SvXqk3&O)3*uLl*=tOrdCz>P5 zF)6iU&ie^xy#Hh+pO(+=jDhF&ZHhW~ZEUl3_xJk!@}_5cWyqYZm%6^!yGLs-`8ngc z+rAFsjfH*7`PQpBrU`}(7u&k?AiM57UNFwjvb&p;>#~KihzX7hS_6b$RaoE!Lpv7U zLTc`f6FGQW&~^s8Yj2FZQZ+Y7A(~z1by>IXtpL|lG$kD@(vyY zOZqWh(NtW_vg;D|5KR|AW9RR})>uLq-f*M+bbK?>xBH2#|Ez}_TGlI^8x1_Qk+5tR z=sW{K|BETCg1IznA8;C;hSNI3 zU+wn)`s?f$QKPWcADOFRDgK|h`rx0WbA{FA=4t~rtRBR{J~U`ZS7G&30d@f<$^YEc zSy(+yfL*XUwdeREVfFM=!EU;0wTSl&VRZrC>6brf5n%sIz@&gC>X%P;5LSOIzy`c| znjG14!HvS|e;2Oicjx!6JFga2R|u;MF!|%9ulEY8XP#>Hv3YMC7FN$X)#}Xd>s}RB ze`2n-xQhSEY?mY3gw-^^5qQwxs)D^d?ykQ>SpDg#FgfwX2O&#uzH>VTg0UO>8?X<$J6<-uLRh^>fL*Zjm-g#_L|DC8 zfL*Ycoj$wfn6UcWQ>`xEzHgYY`ny6*c$!>RQuTzedWitLU@tH4`}TZcHO+6bWL3de zcK-CiN@4X<0h5BSykdJ>5fhr5#7j+{W4jcu6wf@(TH-O`zp`te$;$;yz85el_{yth z_bL`v(?lZ+lY-TM+SXM(4K$0$T3x`gS9jWbj{tkQK<@&YT;u%e8DVw3u(|+~zkKqh zC}Sh1`pRqLcU>pIjtZ*_F!{eurymqnuMjXPSbcr(mF2?fA5I1PhFSjVmJl zvEi8>0_>FnCa{|4lbbIs7I|=$u)1J(ZgKo3QgF3^Nx@g%I`cof1x(fms|)b%78}$@ zSiQDzHIMi09d8z8)j9$10@!!-%2f-n|0}F6!28aMAH~z~zf-NgYwJJn5MZw_#G5~9 z_gwPm8^Y=h0_+03@9ouLm9To_sbJst?_DAVHwmx{zViNG{xeE|y}58TPwxjWe)(!) z^%h}u0p1V2_?KP6>YoZ%^RT;r{QFj6_0Q&N>rTQ`u*c8QTZPrX2(Sw{_OBQH<5gkx z*22|1>_=Ycafz^+W;O6qlgInfkIy_Ttftut{AwQdV?S-(E3Bp&2-fNXydS^t%lX1; znp(h1O&<0WJzrWTtloaAuYB@fUBpvNGXN}1U@!Tvd}>RwMSx9X`xYhzJO50FI*|u= zooX+ieZKU#09!c~-hZFb<6L2Nqp-RFljpXaCh}lHz@%Vx&kHt=6kzW@73>$D`&6Xh z9$|ICNqcenOV0?f;Z9HQ^C!EZx9_#N%PL{@Z^G(=-RXV)Z-<4|d(G9>t&Zmt=ie8J zHpD(*bphUeri~Xh$$kNog4KOD^*BSoga)B4J}Kbu{^#k%!s>$pCIw$P;Mp}V39Ank zuI9heHT7eW2b03;0=x%qbc`vv^58LHbphT(pT2U6KyMnzv?N=>>R}%$mBMNop5*c7cmA#a z&6_Q(rjbVrb^+ca&K`D5SWRP+JZzp%Mm}|~Xw94u=v{#K+aC~7ve8hYg-OBccm7-7 zOTdK22(8rxc#k^k{d0xYEdsp@R(qa&?hRozjqF)pS%Ast4?15btkwkB1*^U56j9I9 zaNPe7_Lwt27f!Jeh_O}|;63(<*GhRz+MOm~0;~C*A2+4jd&26|PqjPa*Ajt(?TQ50 z@RdC53GJ766=0tstS-RY|M=J~!fNmsbDE@Jb?M~CZxmKjqWu48@{hF_3umVt4L8AR zOH1*1zu#`BU=_4GQ^31mFU$V+c?SU#8Y8k`7vMc{lIu}n_1OaKg4L7O{NFrb^*N`a z$&|JkK@PS%S6E$u_Xm%K1`T_xV!BJ9?z0=%a^8eb@^zEFT&uzGsgXY+;C7ZtANcYemIzJfeycd@X#0Pl~_xJsnSC55Yb z*#CZ{X@G!9M|1T_ZvqwXhs9HTsQ??kl7~HW<(LWqcBfOp{-o$0k%Gkn?1HbH{m2;) z2(U{|wffV4ZV`F#vQuI5*^i&yBf!4=RI4jb?{iRC-C0;&fcKogmWVuf#i{U~`;Yx1 z4_{>4o0$12&-=}SD)1C z4QW4!Jb0r3yWlGqKU6N#`z8T)!B>7eq0cA*lbZ$Du$ssFyGW-g!s=TD*aes@A#srh zZxvv}Y998|2fq?|uv_73o(JoEBSap&O;}xk_pUdm=7E91l3cQ$qDR;AkW#L8=90eql8Y3=dro zlYJVUkrcL@68>k&mBya$;q6>H=rDYMBM#PLiZI+qwm-X1q;`;!|rvM~8CDd{$1ewc4S0Eiqx4;aiNk8%FV||yL z9*RiA)yxoRk%5g{!%?mc57zno4Isl)LaiK;5WxVZ?m)uS`{PONM6V;!P+OJ`({Kwd7fxr7>5a6XZV zp9iAAQ$kIsuE@l2V6mHiS`Kq z1WyUI%tVk-plnRv?s@PnqI$DF`S8f#&}CFKcWxJD=%^s+{%*-Kny6x1iEI?xt*&QL zewR?2k5a@++-ncBp`dfsxiG@26Ey89;S%?>$#+GR{gM^i6Smh~rsWkG7ih7Tfd418b7&hpa-Wy$uMfq>Q2b>G&M3!!Tcb+2%ZvZN-{FzWa7Lr z()^rd^)Q)O=92Zd&;PrTtl!)1K6%UA5^8B$WT09|#V)eYo#PN8{y)4m`gT33L=)KX zg3Yt~Z#3eVw%JVpbC_q9vScT+@o2yjo)T)5ikpT*-i&@ZQ+|iZ#KOG$@*(xPw14Pi z#T&zB4->S*Uqfnj{oLn&@i#R*gmW*po_z~($0Oyxhh&YEZ+?zA-YUDfHXoP(MXR1z z+)f~02w1T5PmNs>3n+*U8LYFVj(8is&${vr%hHh%-gq4W5oDA)+pm-8;A8X$v) zfbFq+aS(vdjsZ1~&HZ7VMU7y#KS}5yszNCeOhD<8BacGIaH;%26iQ@{In9d#BKn9(;*qTshw_; zo1HsqjWcrN97j0^AX~tys9HqkRtaxdJ`5)9O4%>wkM_`Z7FsH3*S&ESWhK;T=P?Hn zOv&<7@gCA8<*e!c-~7NU6~%!%!(^F;S~;iH#qV*roLDg%PKK;bf?P>Y3AOO)Zd%d| z4o1XmYB6O8G=twEXw=c#C}vc6y;cV`6ukXm_uwg^*MLHh;jq!usI(k<8ciza8RSHD zq9&O3>zQjjPTJHW8SGBS6s}rxv&WO@zyHt|;oJyIY+lXn0KG$)JAk_ZPYJy#upLOY zffQfOn6z~;s~#bTv%^ud>^gnG@EHg!`HAlSH0KC*2J zB0$Dv_v1+sNemOku*eW}(6R;4$!8%i-S^c-Q;k)R4uqZ|*vThlclW^0X6*a`!ZeA= z)HF(Yqr8Q-{eo_!6zvWjdXY=caY$ERoa}umbi)*`7|~FaYa#>CEtQN=3r;wwWusEP z=Zb5|nr^X-yz2Upwuef0?83S<8FNUh{~U^9p18x~wDQDJB{M9$Q@DUSnpPdCF%h7~ z=Afc^MKJWLh%+VzSG>dW#A8{j493Y}*+YOvm5@=Ner9{(IQPQnB^?9(E-3Qjm_oB) ztZYs|_Q%WmD73e4K5tuuT#;o7vRA>T;pj^M1Dm#c6b!u@&2O6CIT=dSN&^Y)_NS+Y z*rl6TjP*9af~N$pgtj3C*h0A+q6;&wz9v4`;&`aup$0Moz9L+MU+4^xOWjP{#m@cu zX>X=}V|Pa|FZpT*WE_e)=BfId{4Qr^+#2_sbab&Cxl@AYLAg5l3@M{eBXQL@Q<84-MCptlJ(ji$xdg67eVn zEnIVi0#HHaqJqPbc3z|4*PbAGY8l;5O10GrT{~tssY<;7*x;lMMJkoDOSDQkN~3bOHxUqqE_7z+ixsPXBx_cSI$%?a6bdFPZ_#}5 zTDY79LTRhAnwKbe%|y;X?eKT_Cp;y{HU_0>?LBnEGP+7EdgpCY`%XMtU;DNSimNQv z?8sQ!Y)oiYUJolRe?WT)G=TIxHyR8pUwZ&hcuH^s3eH&DvvB;`fTA{oh_CHnsona1 zZJ}Lw0p+u0%h^D$=k~}c zU`fS7j49W(N7YoJbZ)6S=FB^+4@;ZKpHZ%Oo_R4>=-dxTlM2NYN%$(f)^5C zT5f|5oQ+G`2e-Oo4VBNxp=5TA`#$J4+mLxqj>z_SbaYlR=IMt>P#))u;9NdwxWSrW z^xvd3Z?TS9(xFC+jLT;x#~dE&2GhdUrX&C`Q($Nk7w~u*2MUh|FWB;7q&Di+GmW+N zX)WSgB0D|wNZOMMlaV2o)r)s~<^>x-p$cvuU`gE=ET)!DI7%i>5FCLG&Be?M7K>Xp z4}xSAaJ2>rb)>1GM{qB;Ej&sZ7xG@PeGA^NkETYbjc+NwI1(VLYIdzS9v?=5ffO*a z4yYy!28~|3r5}zbWgmGwN^{vq$z;$s=|hL^L7`+%C4^bp)^#3LIHQ2uLf6_bX!9+F z=!PBK3$?%Lz5Irq*#D7P`wK(7x}2<|ZpllnK|+@t)$kB391W9~y&k`JXN^~m+7pKl z&&;pq=geWc{!f2q!ZUsx8!96ZgM{RhC%on<9w9UGTxA2gynZ5N!22l%Ucx+ zr6b<2gK@V*ph_yKak3h<9|}KsN?t*bl#k&r~=+HeO!)!;T5maSFrsAsSWolZb~7}VPOuq*XYs$ z$(dG-8Mjj=kn;DlZn^v)0eupDwh>jRepu0KrulPu=m@hTp0%60;-O+<b4Qm(S=29ewx~v}4NWu#P@Xw|)OZImLG74FpEX$Z=O7O@~PK+(OvFr%k83yuA3X-!U+MOk-v_5w2x-Mix7hZ*=M~0+m z^L#xHLJK`jy^fb z?kS2Ygb{WqLNp1BrZ+q#!|*poSnTK%F#K`bx)5Ju7f)T|6|!XSUu2=%SEF1WkQ!nC zh~k`jH&dxtWzTfqTL_cV7ye=%q_A%E8JHJ2O6Es+dQ@ySJLS5(y4{Kpr5D49A-5VQGrZmZ^AdQUa{)b4me%IgpI7YW@TPBuJw?5=a zhKA_NOB81&e_+-pn%%|pfADUm(MMWeWw9ZsM-@9u!I*&A9`Gl5MDugfWUH~8&UHAz zJ!XO)uZ;z~4Uw;a(KTWA4iFYY72&q-59TrLVzZ(GhKx?iN)&}o{@3h z7+B$@-#}pL8{rMr=}a=6Y|i|3pi`-b(Sm_!lIxR+7jz~D(FI*VaVYf9cSjl&ExK(H zG!JmWY~|Y9CoqzDDO`>P9qyfUM zHJzcup_Fd@GA?_pD2hGC8t(}JL9~O6iUI-P(z>n7c+z;4ndR z(;scTvMHtS0PRO~#nOJr8jJ#CC}2TS!7+*&ZM%-^WanhiFzVzsO?q^+M&N`9>Q0J; zQ?_k^6hKEYJSAgIgz$P}b5d80%1Rd8J zFOYAt(SLZwO`tAU@jajql>ObD4dwHDY)4-k$PGAyLTU>*88FXYfoG1r2jc7SM^J>| z08I5j26*FvQDpeTzG#C#7>y5mP;m)OvDJuXxiEN1?L&a3J;C^40{%uNHH~)x_Zp+C zrz{>x%7eV2zF`^MQeEZMj@Vl`mOQB0y$yJ;r5^!`XhU=-cQdsK7i~U5mTck0U*$Ga zOlQ2rcIP0#X8;U5C8Y>Y1PI|t4d^ha=%ssLaMpvq`ZeBw8h`a(mmQW<=BK2wO3lOs zhUFrmpsl;mE@8LHe^A(hfRMrvSa|?EF73hNBFw!nJ7(Tg65QN-1)wpl_s`at;-?qk zj{US~8>t1eSFYWePsf*TPoN{vwg9Avv<9_a0REhfT926k@0%C`0l-NK#|y4!W>?=u zaNQlx({f*zrd+6QPSESaj|R`&ebz_AV{0=xX zIeK&5a^Wb_aCt12Znz|nj%_{96T=Xe-G43@4%%50K>*40_ay5(kT|qCA^7<;^C0el zgSci7uax>WoL!>@#-=JC^!gHzjv=Lk6KwA&>TmQ~z*920HDuUGb1=@L-NakF&+ph- z`)fKs&R>3=g0WRI{1X}zRrN$msW0xv&geNcY|TtW#l*ZVQ%q_YG6;4RWDq^rXFk!3 zocC-d(D9QAj`N-*is+k6MeM|$IDlg^_yY@HOXp(`S|fI{a2>=>g6nunoLKFviRS$J z)J5^Q6N77dK(cO3=yqd-`0!+ab9vxsXwfq7IH@8eE?4Dqs&oDc#mmi%TK(@_G~%oN z!;y%Af`%F25&I6!Yv_+!6GBU?R71}=eDiWL>#(4XCOzUX!$`!JSjNXR0@j{ue_qp7V40eVhHsRl!p zyB{G9JzT`Sv!REa)0^YnJuyG0jS`TZleT#n3Cs+NX$aR8$v411;VGGpzjdYO9g~)z zSEbet)zfV+xl^UU~u~92|Ee#5_AelO8a@?M#mH7Bl#gbvUhPLJY8ElK0=Ou>^N$j^4d~ zI({k9FLyd+y(E-wXz7&A3X(UHmevZAm(jAq#1MrGmAoJ%^M(<><#V$2b6!R^->e2h z&7pd*>fl-c!raoo=zm}kXIfYyOtTSwbV3K@p?i)J(mn4?`46cBA8b-G;@vAC*`5Co z;$r{_o|0K6j+PvOp6@}b8IN29`FAk&IGF7y+c&MXuRC2e$1avrc+>L5y_Q#pH#|OR zjVAas%n3>hVS-Y%pmi#5W*05R!L^QB4rrpe3{T1I))2u@G!{M_GTfR7xhv~S4c`-T z-*HICi?I6Ci~f_h`RgOY^g|F2 zuhvIrl_tF(1cj}phG<4S3-5-f zi)VI5pNfQo*rZMO#H~JG{Q)@Rzt1Re&Z>rq>)6N&o3uH}ngI^4zI09BWO~P1M=Zln zF~ufrQM;c%HfdupKerIJxCMw7ic(vB+Y;!e-MB;$>W%N3-YO*l4Z1DWkJbdcZdJC1 z3F%~JXktnyh*4kW@#y2G7E8H+ZLpUo+|F!m`K4+)iHRx>qI)bVpfG1TevU~6iyI-j z9HnY)+5TgsKIM<4>ksMS*zyBDUt*D<wrh5dgwd@;z8>)EmdRQUYtbDSP zar2yw0VgI2s}LL<6ypq9TAZ9(1b4T()+0L!P)6M8BQNY5cK^jrOOqp2);%F7m2IViXlo?OL zzDeU}Gl10;Aj1@n97s$vWo8Sc%s@VRaMLeQ&9UjHY(dIQ+D@54fEa*)r=-Th(AqG_ z%?_CeG1ICm_MvB>x3zWaypu$&b-wf11)|sq560gc8lEBfLXJav;#}f zl6FDsZNSNffdB!?fFbfrSAoc%v`P^9)%j2)JS3HYd4mK4DmgClaU1|MA5}=83+y1= zA~E+AdZ{iN3VGGT;@)_3?XaK<5v8GVpJ=@1!}3EGy2#B;-Y>GywTJ@;MAoQrm@gdy zqm70XM3l~85hb73GT#v-W3|PtK|)qV+VCE1a~>g$eZ+{;h6fY^0iTbE(rv0cNKxX$=y(VoFAv&4t$-B{kQ2T;Bdc7;R)n zlv-x~+Th8IsJ>cCh0v##@avWa;Uh}52;3AE>>HNiZ(Zrp#`JQStASG^AfnXaZBo_2 z;fYpV5DGv<>HMstAfg1>fwqec4FPsrszZ=K5#u0&q{o9OOGZN7mj6IxwBakBkNY;H zn$pR!srgsN2@Zx)L%JnC@zQ`%YIsVPwT6oUJmWMT+z<1n5Bf9t^nrkGPkpMM`@P56 zg^nj|9)RNsH`hI_d(^}G!&1`jgN~<=nd#qKZ{apIpa!S_jqbkVDA{{Qe@=pqDZ9&T zb((N_Emvk8KxASm+!`W~8Q?+g&6&@V^PE1ZSqG;KAMx3A4;%rb74DL^LY z=y}d#Us*`w8xEG%yS{j^{_WrhE4#k7KI=;)>qkJV;YkV@E(yziL;HfExx6)+Tz$(g zFbDG&UL05SqmCg+*Y|J(VbI88r@6j0!1iZBTpj`}ZJs1&yciFh@u~9+NGh?6{u5;z zk`b6fP^Z(x>`>B9DaX^yuFVkyY#I~{`zZcK`IsghLXw=}>EC_XNV2yRf1S)8hW60P zzK$6hDGLNg*j^wcGUJ042#|8I#Rrggq(6+?_WU6jfAvSAwq|=yiuc;*kKZYjOXY31 z&uW0k47eXCM7C|9p1}AHP-(fcbRsE(yZ|LKagwx$YEjH%+;Fwa(^NJwoE}SuUvbmW zFe`)^a6g_5Q7LLf#unXolq?bE-d9eg7q&7*C(0G4Z-Yx!Y}ZVfl@&Vt)jQd&C6>{| zV6L{6)*CEI57x32rDpiTy+MJ9XdU1VKN*Z8SdlorPZk{Tx9%DdVj^o7NO^$ zf++ge22$~~P9M&%c+%mFwNyOe*I~>(55)t2S3H`Q40lT9_$R~*%a3osw;sE&uZJk{ zQk}t4gonXwhPb({a6I%@)qKSAgDh-wH1UUJ$3Jd8bbtk}Zo3hkq#nap4GV`VJ(#j~ z_MHxql?G!nv$t=?GXd6;);<5Lu!Tl*tn-})FFoy;+eTa z05x3Q_CQNn9$iK{>s~iYLulR(%XoIXGD9m=xKOm1S^PoC{)U@A{zdN<@iCnq1`Wq1 z^`{1zA%(;=^h3X^@J7(!hkjs|&nJhN54Y8{yN?MsO|=Oeu%TSMkuda6(eo3IH1ybNdmS zNW6U5e(dAK>jrmogoT-}xQiHy?lRP`z9a1hy_Sc*O*a^Pt9nOLGeLkDjb_Eh1LuNs z$wov9AD&|O4AU!E>6)#0X9FpEN4aK&8^n!R(5N`{8nsw(*iollfFk5<7v=%X(9FYe zc;^UmVz)8jJn@q^`_30_Yh!(tJ@)ppD*Q*Ecm7&in(J? zl6}P`SLxbc-uhIds3R&xup)!tpK*}lQLG@Gg&+)~1hKyC2jVPK#da^;{-0=62!Bu_ z7>FNFH56j9^Km4g|QCj1(j<~oxf8QiUoqRH@$36zcapo>}e*+K+B%yRNQCT z8@D7C23H6?aYo(Jsw?;3e@Jg8F-0g5;AZ}w^wMV`vFlmBr4Qb36$m{8>TE~I_Heaq z{(68(Z&IZ9-cH~A9FF&F@1ZrUoVchYc(cqW1EBGaG zl*hygU|$mDwO%`O&}`XGwry8(tk~l&Z=|LCmq3`HYuqcyF|vsAF+c@Zx8rR`DMo}t zblelf)bn|hW9Ww&W3NYz0nD0{&%1M`)Fwnu?A z{o-^~ab{4o^oqZeYgeraM>Fm{p*~YhCfT`K2G1D+_~Ggnr_WKa20S`;Fy-ARfBS*N zepB)8xyKiaRykrZN!!09;NwKxjQB(%<_hE)?Bhfn0ke_FQuEeNAY-Z~n1%YP@xFKj z>6oQ&tvoX;NQ8}*<00l8d}%F~utBazfLlVo!T1X?Q&N_A5XeY8E)Mdy%HAgWig$@M zUGYxDKGpoy+j^*pp**F}>6J^BAU$)ee^acS=>9}4JtnZ6oPE9>q|v7m0xgZ_J!#?K zx;vwUz=2w6h~;cRQHU>X;l#LZ(!a=al)Hnte-5s2RB=RPfe)sXbZ&W>`W zt>{b>0f;Lw`WaDR`LJ9`_qPwnyx)0C*p)36PAky)x>vD=c$p z;^DKE^qhH|m(VNd z&EbiGECtb2-TO-7tLd#2O_^;^plDhYJeV+;WEw{1!S?|v)r`=2j@iY-?!JJytuGb( zfGH(Ei2DFSWXB)!4Q?Drx5btj0E*qj?3ZmMXn0R>)nDm~_zjCJa?C_97l{!(L;5#;dP_<(dzpva&C^`i;4BDlJ+4Vj4ld4|+q|^D&XufQiy}i*d zq^fR;_|Pz$2+9e2_&-kinSFla0v@3M=u_-fs_nUE>lrwzCE)DU1U!ao{=>h zFu|3Ztr{oLCq%yEILBt6+j5o&+mp$dur)52gzt42^H-WF{61s9{SKGgDoiT;{zTmV z_Xk^u&e|T<&9SS?S-mO0y!>>={?~;g&s+T~!>^N!EB-AQk&+7CO>quk?xh!dqnJ;i z>RWp0f(>NZ0u@8fWV(okIdD@-VJo=t5iLl$?c1URv6o>i{@hxy?5tI~jwZR@_W3f? zqNo28BuVt0f!jv1AngLYB4y`ZhImEF7AY~e1NL#@hdz@G784o^XN|r?j1}(~bhQ=l z)Vu97jTLW0EdpF7cAPF~-Wg|95%P_cDC)Dh9TBOTys-=ci*+~`1|w_cuyR*1FUC$} z5BBk!&2sb>nj^%WRqo|&QG(d>FowT#Ml;zn(-hV>?VagJErIbfL0y`dA4~?lduOE5 z`H*LA2q)x}V#UrXH-{qU5*5Cj4scE;orWEUOUa2Z{fY3#6J>61_;?AJF5xTX<~j&^ zak^qt9%Olw?a?$R^5R^LG+>D#;aSZwy0bdm01ma|4azwfS-Zh78e2#icJmZsNKQ%CB`0~jQ+0_~f;2*xX9mSQkpUNF*t!2ed}@lu z>;T}dU}D=hnCSOEs$kgOmP_DNXV(g2n4U?V5@bs;ekP*zHuoxuR7G}Pmo+3tEDk2C@z))ItB;C$x$T!irMHnH8v(Y({?=A z7)+#2>FiG6*}QD-n8>eyQdL(fR;^`8UvFfZwLWP; zZ(7O|ldZz2D2o=x-0ND8_R`C}8M;3!_zgm7{s;%0wnN^i&gKu(OqMabp0)^6AkiS| zb4Z*55zYrhaOHNBMk%cu<~ac+To0i%^C6UGzG9Rc_m{@w;njDIOIRQ>BkCGZjeD-m zEq@bpekR9hpE1a-bJMmj2|eNTaLa)P{}?TWzH9z_8RF05NOkjC2$1|h%kHgHz81a2`A z8iFIcuu|#%G8<92uyVVL<5lojk4sEb8Fdf1utuvUpyhAw!h-F%!?m;|h`#EfzoPdhxJ(>)i4{piAq+U;^O2^!=$C+Q)*zx3U}KFEc_c5HTW zqM4Mm5Uakaq$Ldre`^wcGcBzmR+9i1mMJrBSvARgs`6>ZCeySw$l)SML0x%dEMS2v_n(MP%}X+NR#jok@6$=cv4lPu+V+Pt zE^p^cf0>`q2faIv#C-XVP@ka5fH_nnGwTMP0Dsn^M$i7R9A1nGzK_5Y;L7bupQZX- zK*YPS62ygx*@v6E6W`Hh)pkqJx_ov?E`|UXXH~u0;)0qf=*}5J5b_hVuiP}AjM;2daPCp%J$O7F zvC6ZpwNJzvImqOCQxG=yuL&m-gYx z52ZIntm53jW}z+?4t43OYErmbxlbs3gr8k*Fvvzg{7^X8zP#M9m6 zC(b>&4u^lw)x?4p2=2!5Kq#xUV9GD=4rzoE*M=bB7_2=k*rrb)p6(Onf*q^!#hNM} zG5RqY#N+g&Jz&m21)+tm%lkPY4V-jmCmFOLbV}(m~@qOZlw;<2NA;(D|85mb#a3E6s%WE zb4lTidb6kS2B){NFwdkj;krom8=~OLmxu>NK4;taQKVesfn^5Lu`>(zrXO|??+@x7 z!sm;2x~oKV)l*|Q%KQt1Nh~Oz`J$uP)TC| zN6I`ZJe7S7d&PBjr4XA}A9KZ=vwX{LGW-1~#8VEn z^7lNTgDdxeiy;;;ihpAE_{$*MQlqPFxY`~WIjKVc4F8fYvuA@nCd}AzuRiIA5=I`o zTmH7t*2WpigA_fZ3K~ib>Bm0j7R0{705S2a3@Xu;X%R~@gQZO;iSj;xkW=+Th)|?z zJ^X|#_hIbgR2>0-q5~_Kf^b<1evoA!s-93Yk)+)2jTi0+1&p|ic8BlEcAYo($n5X& zyip$Qr80b0X4CC@H;T+FtCSa=yBns&Gu0p+Ypx}XD(ZOaSn#OCq`gBxv? z?r7My-b7SRtXRe^J8Yf@l>EcP{R?|jcwJ^D#8ecRRN&eNHWB@yO$x5Pv8CP@57iC} z34ZjoMeGW59&+s=fD5i%ZyY60>L`)cZ}6iO>(&IK|?^bBg66=P~T#6pKL}PLPNY zCHYhzGOSM^5iK&h^MlXdrN=~5z3oU?_%3$4JEh+av^)d$Na=V=&S685!+8(VM5~1Z z`-EML#d#d;yN@f0%l1)i#J(_p+qY)aGQ7UHq-CI<`v#zbD;I4w^19Leh);~f*#(g} zKN}$uXRj}2TD#lmR|;HDJfmrsb*e|kdGHs5CGL-}jKroI%SR-2iA>?%uQK+Q%GU-Z zb!1$F5o_fJj?OX@d2ihlMj(1!a`E+4Bn~m+sPruWn{5R|T&=eRNNCTxh;stv)=+fd zuXXK=VN>nia#t*kC3gZAK{6ti17^MuNb#*E46Irh-gf=i?2}rEF#d(x0SjEY_=++6&Gjtcfxqy_+2^#|~Yv;tU_?PIb ziWkkbSS{(2H{Cu*UAWId!H|$X5F9EF5w2X6N^QP8H%)7S@cQ-e<=M@_wC(GN)2g?v zPXuUkBeRI2URG)H&_cs(u~WVCueHm_@4t@aOGmul1xu9HIPTns>AQt_YP@X64V7ZHrgP* zWzFy76eWb$eONDKLcx&E5=Qrx+b4S-F&duRG|>*(il#ut@KH5-$Pzeq;X|FTu#4N? zIP_g}AgEG>JQ1HPN3n$8i+~BPTpYP-F=0oN82~#?a9CCUKsIhrr8$q>{+B0h4;A%F zC9Gi(&!G8&0R+5b-T?zl7OP|*#5qAN6|P)V2wJTvnA7bMnC;E5%`DImpHMJYuP7KZ z9{x9%YN%YP#s_bV0qSG3SImT*G6+zQ6}_JF>WXIRsAX7U?vS!3X|GBxYB)ux(xSkh zgf2BON|ikU(RxoRM36UlX(V!R)yH9|GX@)njF6E``}mHWvEyvYql8Zk^n9$~2^#s9 z>!*`#Hz*lSQl@0bt|g`jUuDNv#!qfQp@z2e0)r07K@S16k{3#zc9W=S#^x6V$2sSBjcgE8>Cd zX>_Z>YVEw5=y!Edu2yWC)7ey>G6s^kS}Au_QvdO_`TRlz1^q~Ie)$TH!ah2`zz(o* zwl^Dz?B^a4((_ode%KDLVCr=wOjB+p8guz#vbY57Sr$M7SMF%Urk+>``eV^~KOeY+ zgfx1cCv<6grnF+-&*utByPu@MPzaT@!(H0F40gr+i3+c;rQyJ{P_`*{0W0BJSADCq z`nEsfi8v3kGJ_*+cSD&$tOqN|r)-qKV7MMPMjDK?4F&Rvrr`xAX1kV7fl#a|YC~P- z67C`+7D)tT040}hVCoUyPeKWPKldPNA2>G*EJy!Vy2y^Xrq{*YR^jwui%EwrvP@LA znD_uMUl{|UZN;d^VIN-^EL2B>$i`-C%Af>(#a;ejUBzAX_ViR^#hr$55Jw=p$TF!` zjGE7h5s|7F2$)jb{XNdfxmpOk%hW2jJ-@}~h&j=Ia0f`Sd`cJD__ip4RAX#gxwG2_ zvgdkJB9Xr<*O3ODj0bU)hD`}wdhc~^M+Wo4iB+z9r*x4`pvd`$MFk5Di~#S@fg}l? zClDABIw!=NLdjr&C@MICi!2t2N}R9~CYy_siPC@-i|F?H=qBoL32gn2uTjpysL)Aq z4h+_?Vmpa0G87|l<-XDuC)m-T)-%rQiWAMG=p;lBHx-?9L{qX(RrFGbB?L&q{vX-Rd(3O$@qCnK;(sGNmN!9FFSM(n_+K*p6_)lAC& zp|nJ{9DN7#kQYHhwN-JL3bGK6?KQ-PkD%`gtP}_zcc0S%-$W=XK2af3P85AEij+Xo zD5;}}jeoHJQDFo6fvq7mO$_h+F6r`t&UNP%!kDgBntd!*6<;#Q>m7HYpFUU%D!eZ( zNcCrw`+}(yuS%MNnwtjM4*dBfl;=IwSHYh*3i(b~Xmidu0qY8-e36-wO#+!dpWJEU zn7p-*x;&r?KU#=pL%1o@$ty4;Pe|Uf>NxcV;+}$q`~8Wj?0x9 z(ztPcMak#&GJEgRwM1XIRxxhxu4-@QVBuh+PCtv5tn#U{)A^Fkq)7Ra!6ZYLR|@<{ zuw>OgPA4@#Dwb?YiCx9%+6tA`IscuH4zu%S#_VXjNiN_R=h{?p~r4 z`?fP;Z5NB*fH54IOkuaLGD4`Nza7j4P0O?F?vz-!seBRv6Cydj>LEh?Cb$l|C85OW*Wn{5$8=qf7Qum~silgVd{zC92!K626@LF0eVj7a}d4AM25gmxN=Ra5D%+nuwoiiGgviE zDOM*fZpZ5knv4pE42C-K9o1aKr(`4G+w@LF-k80#Y6n?XrQZD2%#M0oO&9)^Z`36r z`abRR$pNUCM8o-snW9xqIjFoe6aq@)LnuVUKpliaG(6%+MeDZp_xrKn0}tB(7ga3n zmK15^CtQJiOB^l|rdU(YE3hoPf)HfcEe@%SZY^&SOsazTAR%Fpc9cq8dLAMa&3gRf z2d}R<$6&0EKy-qe9cOam$=)O!>mAU`^`|ml{SkFLHXgrwTp-|spc}W~RTSw!rIOD` zJ|d+=sQ9QH5teti;__-z1iO48U39s}?x@QaWN!OH2i41f2(P=|?t7qjl}>;ocx*L_N+ zWc!(F_#l_UVc95%ugR5$g%U>T`-L4N&L7z0A!4^`T2kk!dNt>8POXFhOL* zSV8G62hNaf2b5UL4~aV)li4Ki>`^B1<$b#?LfAGsS#(F4fmBQ}Sv~83HDmc_Z2dEhqa``2j7x*>@<%~*3X|!Q+-S<5eRyye!Rv=^RzQ0LhB53t{8_%X)O@Y6Iz-z@ag6mAacsd-M z4Ur+#vgC?O^RL`q{8yR^1@rQ-JiDh}n?r`pFxD3ZV$r~`{{)f-RIwx{nN&xJzYp+b)D-Q78zxs}YW6g3ND^;FWbb zWJ!F_@c|-Lia6L6M5&BEdBc7Zzttah#(Ox@{#Z8y4qf!RZC(}^oS~9G8xsMLAHdjC za%~c&!m^BFSCJ-&&@`F{;LOM3nc#JsskV_Vw$VsJIEc+0?kch6K`>&juq?kAPM;Ww zQv5BHwJ23pCH8UpjR5^d;*<`qTz?Z8Q`tkondg;c@whvo6=&vDunLhW=@z`u%v*C?KDlcV zNk()e-guXprXjPqJExEpi6@Q@4B)XD>8QglQ31M{_*b@?B36-Xhs!-!6cILM%}yv` zRjt_EgqB<%i{odbH>ggRczi@_7(HZM^US9xD!)huZ%8JQ@9}&rMKnJy<2U+_c)DtL7f4HrP~o(^{-HxcERYAqUd} z!<06CCE^1m{TIsJU_jO*VC{r+N%!c2hH%SXT`D?(e!S?ADvcS<=Gwg@T#giVNEu}- zAgY4=Gg(71w<3xN2CG3b)ZwW_e`30Yq)$w<$LE`mPYpnLp~@lU6%>{-P< zwhngtOua4JVxrk3vcPvaWeI_jnG3ql5iKx9Bpm(;et~_IQP3?06mr0Gn86mb^Yb%g z&*v&Z*Eltpaz^S(*H{w*5h*^2Z;rrs&iK#PorlSu-xJBCcMnuJ z3GY5^LWe1nbjQAOS2-hdkG%`wL|EVi(qbe90|gyd6w4|0Rs@n_hgUuH81WB&(&{in z1^q`J4_jLuUlnntq<~Yb`N}7}LL4wow^(!9nPPbq)k}7ejZ4%!{q1n{Yvy24=V&m2 zbq1r3h@2~@TBhU*#=9$~7b@$WF& z1)118zs?kmbfPgD$G0u8`199Tze;&R<)%gjeg99dJcV9ftu2LK(qh$J#vvP6Jx)p9;m5{VTa`3MNqdE)h6t6+KW}=0)a%SaPyI`& z*b!KIT3WcNcB7d@>xbJ@xHr=UWZMCW7hc1|ehZ?pxyKHp$^hU4B@{dK0=%`XMN25Mh)z$92D)eqx!xxVv_ z^ekzaFaSM5KcA&Pg4Vn2e@ZjmyqbkoK4*UV0#L09L(K%c91DuZcuu3=Qp5@o1Y3Q2 zm^YZB=0MP~*&j}X8ccR5B);uUKRbC&ju!VgUtJ z)hX3ZuCaxtKSMa9VDYPy>p7*?w#5nJGQ-RgC&!;5vGGa+-?6XGz~Nl8;>Y3OJX)17 z?Unt{$}Q(caRwCMlbdFVf}8xY1*GWlfG*fl^tjXEw5)v0?8o3kc?ai+c;wgsoB=Ko z>ZH+13kG_m;GzrG3<0dxmMzK!JAeGV=pZr~1L|Y0_+D*OTa>s7WDIZ9-OZ%_9!t>N zwD}%~T`o#^YR#mi$$Hv%ciJ7t=n-N}%C-*WUhO+^8gRjAi7K#3qSFBta}@>`qCBy`q=|w=`mj3_!R#thWyJY;n^}}EkZmc~g;$z> z>f53O{~n8xe(DV{6qv(xMbVgRg#|mdTN70mmS>_^#ln)1YpWq!<8sy4c9@V_ z=|y8KlsFDnlgf}%u9g_ZJXeEBC-2ym)|V}95yFzON{K^ejvzzd4AXZB5Z+w2nv|;&0)ltCoSv3d9y6lzmubt; zYO?JK&zPmfcGtQZwqDKRMT5!qDB`$L^foHU4-12eQ$b2~o@1Xb<-vxYUKIYOYUSPdI zN*Aa+A&cMV^#+3`on-FBWG$|L>_misPq|I`5&L>jZpkdoE`hnMi@`Kpx=;0l&2K{r zv3=v(eE~uX5PE&Bbc$hs3$EP%AyRx2=fdCyh0PB{q24x_-1T%qug_oeWx6AErV%<&?vWa0U5 zI?%>HG22)03TP^?r~`arMBIh@_3~M%w*yN4W$tT>5+Wph`Si;?FmMA24OA&A>t3sK z#rjiAVCe3j0#Jf4Bb-mA>w=G1f__;Qm;IGCxWEUJ=shyJULEb zQ9EDg6P+|rvr(e(G+^W=@?Rv3ig|EHnUAIbVw62Wy~kGL_Lz5T$ZRT6KsN1~6t&MZ zE$a}(QbXR1OL3GBQXbz7{7R8?s-|0(h!`roq8F%Gy%bD(O5wZWW*{j9Br6B1tbED# zw;d{m9#dWA=L5q@XpC9!35|%m1Hpd>Fj7?Z%4D1}%g1mYj?ymxMT|Llx8exMPq2hkuzv1{My&>!jybuSIj2Kw&onz4Z{7*+BSa&dK zRKy*k)sHjF18oNi;WDDrrR;qOzbRC{e$hsek_^Vc4bnau<-HCN!Ik@4TZFKw0F4ok zbi9pphx&*Q=-mq~-Ft_nN-0y8TH=JE*#ORh-3>^3EKt?5x-fq?Jcu;ge-3t%l zJB)*EAJYEvs7tJoR{bWpMBY>}vvI2~qY5@~1A|3Y(9oC4cNk4=aYD#~AbNc3ft&5| zs<>I>TdufomT!$CsjH{4%`WLIb=+Zaad+rqc%xz<=DwGRxltF?r5i^$Ju{PyFJXQh zw?3_ba)ah$opokCTAyM*S-U`;m~(?5#m_%4ssp*_Q$ibZ7tsxUFj-}r5J@G5_6|}D zj_ikXIu*Glm=E&|V1g?*B#l_&25`u&gXKF{mxHHaW4UrB&Tq%s;Z~ujyV*n?PU)yZ zz+wChpNnu?%uq6q`GS8w5G0`!R;wp;ScnBezZp!j=&#>c4&-x$O#|4}n>~NVOD21-;K7w@b zMjQ{^odSd>MhHAv>3qn%Z&rAUO)VIr)@9;WNGt;ak@Q$pbC5fcI-wkiUC%rR!5pm8Af?7I(GJCX9tbOiwlV#-xwt&O# z_+PNkRIAP?nF7n;Nt|;u(~G7BRA*mw za4_LeGfomQt0^3|@^}h5)>3|jG|Wi&Jq?p1nUUL!<|MW2OCcms@K-i#;&;y{`W!{d z*AO@;sI)QBI#BroX{c25`#o`oCmmjMvjEm;$kVpReUd7JSb31dc@1+>6SKEg zYe{V^{j(f|7)52PukP^%X&!Kqf{_ zB|@3u3|VJT`MpB6w9Au$kiH8&GHZH0Yl7bD;9R@GE|)>7+?_OsQ)^stdZviMD2HR< zUW6-%8R@kp777*-2lFPb_ycm4{!p^K!r%FsA^~Ntf)+4xE-$nmCj^4B)UxL1;LO99 z41rj3xZA5sJfBcSm3!h5nOyOU6Tg8xijRH+(#k-Y3l~c*+t&}OR6VG0rg&o)9Pxv$ z_l*M9J90YhGE+vRg8>^{d6$Tz#J8q4ZK!bqd=aZu^(KSwHwEnGQ4amUUg1EiDpek- zNC5`Ei`I_3b`+h8Iw4@6kSZ#H>OJ(ZDj$#&e=m=IE@9<^g-!C*3xkaNk< z2qDr?UUutog2>0@;{~8SJ+pvRKBL$lpD5|VbDP6*Y+eGey#rX_%Dc2RHqdjhHWwW8 z*o!;>i5~XJ!!!DRHzf(~G?=(=akw0bLmxv;mPJ8FiiTYbE?k%(wfhUd0{UM$b&;ih$KA;*jog? zxjVthbPG6{Zq)@6nTA{J&h(6Jx0v+QyDB@G(rMWOitj0Eba|IitSV6gOxerS4M)Lm z)nu`{#_qzFH$;1ukkl=bSg~RYnAf2#PFUj9U@A&ZUR6zES1WBdlfDYoIh_lI<2RcE z229R!+2w#fg_9|-W9v16vLg;K>@66Zz4Y(tq_Bk;g;3$yl+S7T;IE`XS(NEeABWp1 z+fk7li|j1#a%r^EcMVRan2&CEnu{TLzol5UO*?*!JDCK(oDYB~#hpT4PFs{9{KW!} z)!_}K_V-{?$gepJsg81;zBR+mVe9F-P&z}MOnOT|RxD6q=1Zm#r$MP$p#2e2u{OO+ z>K756-&=3<*_(XtnsiCj<;2QN=wyn?NvRVQlc1GGtl%7Rf!QDrSYg*5Do{?sywE6d z5-d7J#YPkpMnDBu-W6?8g6&4E*jP~2Ol(enP~Wu38MVt!rf&+jj|*DrY*leDlxzeU zDg@Ev)R-v^U@@k}vt5T0VafK^86GEU8+o1ZaiX^IF#Loo?@H|JAz7C}pYgCz{sR$5 zH8~W?SFnUB$;$+9bgC@!&_N>Va(SH*5s(K{j>K%8Gz-M)v4dE8{+x&oTj>~m%T^tp zhAswT38Pc#z|k{-BsfY=yL>LyzE2b@dHdlqHwdMx9~r zXCVvSq-EqR?a44$j`6Ctg9U*)ej#E5?9&lje3y3d>}0@ZUu- z{eS-j94e1JTr)mPxNsxd7~8>h*=3A3=d7AbacTPe4_f4B9p1gHiOPOhsmmD4%~@5> zfbPGK1KIxd8C?JbVq7q1)h`UFFNWPvOp6v9@B_(0AjZ^kSQuiK<^lX-DA3}D-enw! zajBfucVkDE=D}I;Hw9YSKG7Gu5EElLIjhHFN0#QH2Kbu-z4wHhZqg8lagdzV|7Ae^ zk@6|fe;3Vv75fl~@r0b!n;6i55)SnK_Ca(vf3S5{l`A_L^bXhd}IG z%_+Wy0S%hRftC#otmi=N>&q!dqJV*qM_`I+x$RRr!H9`|Gg&#svl-BY2^?s}woxOn z4}sujf+p!NMr+60wdKINT1^W2$({%0-6C?GUVy2*KCfPYq z(Yx*f{ErC42q@>1+pr@`Ga2_f6w}JB^NO$wfv(0MSvjAKq^;&PTzLw#I{P7M3fTPQ zd}3oj|JlcZiXXr95$r=uUFgl6Prjk8W*V|^is_Sg_VvX+1iF^q%=zRnZ8g(ZK}&%? z{o&Jxun&Q{(wjM-vPsp-q zflA*#E>S_Q2vp2~-av6iF|GZ6!(r^hF)@uW=TjtGn9Mhqz~2<;^GoIxViy8kk3X_< zK0QlY&75DMr9fXi`j9kFH_)3opWV!W<|1dLKwlPIkf@-$2=oF2GNZGe0+nysxdr?1 zaW~?Rtenpl(pEDMCzk@P%POKD2Lkn=H*-F#WI*$$aiFh;ynGn@5a=fS0hkWbR+IlN zv=r0&g0A#s5Qq&pr=%kTvfvw0ps#&l>FxeWZ|0OdzIaqLmzI!=S>$cn>l4#vfTZYo4L4X7L}; zQcT}0e0dJ`A<*sgX3mCkyAY@k{>aKH zb<5$FD(4f$BHExB~kS=ze-LXD!k;Jgx|x3lzwE zp(|Y~1fnRja@G!IK&yIjpe+L$>39*SAHA8g_6-KKdN~LBKEFhw0!FquYs(l=F_H{@ z+z*~9hp`VaJ%~TDG-b5O(tP?7{GdQv(|u_t0zE|UYRcHmYd+h|fwuQ=nT_`l2vjTh zqbVE9+BGQMDW-<`wKnWSp#JP;*?(DEx(r$hw8OoS{zn8FAOg`9&(dtUh6C+PJu?FD zAajNE(*nM$UrF2 zuJ4NG;4K6ij6VQ~zHXLg`9?R%@`QtU%a;#fXUF`ys!a-g3#)n3Pe9%nbdxQ(?xy~2ThIX73D@u4EnNY?Jc zNu^`j|IlL#un!;i1iSeK{a;y{J)Jp_|E*33IMBaDAWr0K!;ho zZws^(D3C1bh<*6Dr|?IX=F3j3{bf9~6zI1HUp|L@2!zT40*MR#YaRTH0v$B>Y{pv% zBr>lrr(#Ez#*gGoftog+k*ENr5@IT5ZQ}}PDbS&o8afojM4Iecde0Zwon4cWEqvVbBG3!0J%o&wj_F8Ze^2Z~ zAn|57J_cSOSO|Yppg;P3T!LK)G#r0qY04{E8)}4>0v$EISdD!M^dh@ievq|?apft{ zvDzE1!#)JUZyzvK&^O7_9Qh6YMS+f={Zsl7MuXL4kS`!#YYV2SQJ|NxJb?Y_i`XTyIHY?wI}eypg_^T1)L80xR=?@iWqB8 z)p0K^5s1!imL>t? zWSGvqzD=Tni6W4jwJo@Y^l|4meApNJ@a>Qv0X=<1mmo`%MB<}BsWZbROd^S_zm@@? z@5zBK^yz#G`w-J4c60qu)}~fMOEHnz2PG<)ECRj3+6!6^l&M}PQNa`usEoB_1qTwE z-;k!@H4$hJYX!b_>v+k%_u^vHG5tpbxRk>qju&!=kOK+iEC#66g#rC zTFwhPUQrcWk6j4#CjJ0SJ87%!Gy+=sxK5{Q#$z7>QNjYC7VOB# z4sEs9Jq|6!)V1=S+prIT^65=Yx;|5r};?T58azm~!u^lBU2S z0u?b#*M9*m1$Y1hR@i%?#*9TuTacL$nhe z3IY`{psMZ+=q3#Zy7BhiXR!}~a2bFKs)o^4d-LbeQcOLjE+3D52=osA03bGB+MWnN zfo`&omN3050aieH`7*o*LToTpxa;D-4pu|=)d%)#))JLnC|HT ze^a14*1x|WyAbGo{E?+`T}NB(z28Dhf$lu{()HMfK!xvLk7^dYPefikq!KDdBmy8qUG^d3I$Lk3iRCj)xuXAabN$|a|;4}m_SH#OCxX{+sz zQ$fenZynXBDbU9v5L+JYfC3Km!14EY;XTAugg>%0)f;K6eIx`e#q`iE!*I?h5b{U_ zqO_Bx9fVpn1$uaL*D}0?m{#GBEX{_iXsaE(23iW#zv7Pz*oQ!?=}pasA+*&#Iv83C zG~igJ^tfUXXeI-C3_lDCG_cpS9@vMNKEWUGIJ!<*+MylcUleH2q`M3776N^WKLF@| zwADT_0a^+)xIFb1_94(`^rmLRIofLf^&7MlXvooq{n&><>@k{eZec)A-Oqs@>-qk8 z>_Z@YWq8~-NJl^_Pvd{0m>z%inK{^nK&AKtfEZ8EK8v3b1sYo3`A)orKx^>_09Dgg zI}D{31$yF-Lv%e6sEpp!e1mHSkNfxU@HYi|@}FNngi)n^X*@>)sB1sT8inpFP`a$ zeF*dwy{W0`L0hdJCzS#{|NG_FV;=&or#Ce<&(T)<@>ysp(D0l5*I*w4eNAs_Y8KE| zJ6Z=V1$uG9=MrVwMIhE{$KVT4pqD=X&r!UGm{7jK6x1A`t=4ckv=nH>k=rPZAy6f~ zsj0n;0gXe^MuA55h)6SDB?9$jK$3mDqkeo{PwYcXP7!D#1DarhmSTFj>|JRJTp|!- zLE4EDsf;@O)PB5&m>98XYP}R#`>OPDj_J{H7zf%wZ)$2!F`!8e(9*|^HSVHsjF`S* zKpS%z(3I{R$guVk`Z5UgtqAlK19}Z#gkl;Oo+Pou8WCtN1Dbj%2O59lZH3r}m}==w z&Bk)tYNx#lEyXlp+=(*mL!gcLBTKXK7uss4OXNGT)J5MMf$A6#YqGR6Uxa^COs|CU zDH$QqCK2dvhG`a#kpfNX{?sn)Lm-rI@VL6MwAH?T1GE%q^0*F%u@8aV_yd6cOIz(5 zsKisCDQg;}De%ynnmYDa?VBkM^jh#kiXAa+7J-h_R%?=!hp9IhMqnQT)iaD zC`sw#rWtOf2oQ*^zGl;749JX&N`a=A9N&k12;`$THJfJBRyz;(F%)RVp|2z=*dhXz zGNAdAD4TWtoW9tHn7$W*=)`4d7d#06rkG}r9V~qaKZrp1>cF2YR`{C&y_bf3MIajkdRwA#)0mM% zIS_W@;~1@L-<1}4?q@eY!hv>(K!<6owY>l>9h3Rs@mH}AA4gv^OXKdufEKwp(7fD= zLmcQwdQ;=3sI#<7aCs=E`J<i%Y1~sOuy*Nc4wV1tLlPC>yuiTS#kAGFN1&yc z794E<7WUzo*m7vx>@BtL>p9TEZVlISpxyWbFrB5Xwh$?mVzQ31Ngu)FwWgrFo*zSsKqm2DIW&4)pGI$If9N4jhRL#^kA_ zt@fjS&{9mcmn*c`hd_)6XgmiQ(8rR}zNq+Bi3)zDH#M6(GN6^$K}#_$KF~*^g8d>8 zN+%fjs`ub;3beE|0ppt)bpbxrU)r@_J3AG%Ur+T`WS=u!# z;9nHevXNnFOl*EM_0LdXZRrUPw0u>$^ma}3rl$Ta22?hg1FdL$r3U-(afj$lP5nCB zYCp#nppX0L+TPQ#4}pU8rly`vs`g7{uoUQHU4q_1pb!J{wxg}Kd=&>OTKWAJ>_eb1 z{>ai?B>x}(oA_V1C;~zAuEzf$2HQRcKjF%|2K#7ehFEwY%>r&#G`voV8kBJv`-gg5 zsng4UP0*tpATUP};`|Q?dw3KO!IjqqF{)!Upa?^Z1+Z=!y@6~Vt&F88zkvsRTl{j+ zHx3(@2(G+q+aiS63>OXhp8d)QVuqSzCw50Nb1PQ~iPSY6vh%P^th+!cELRyc3GK?=~i! zCw}s#{KtO`U_7sw@3Z-n(@+$)LMvTYV`S7hVs%w`J2hIL#jmF%-n=n z^pqG9--^W34i9yB5)i?acVkLRSFXb0t782@)aOK^sLI@$q zkcZ@DWwiFi7LdkxG8t^yACEvtsTk;;i$)(jarlIdYB5HD6dB z|4V0(;zEbQqfkh|m4_k-8f97%L|eKX@U&A{0=pAQpSn+3`+FRD&gGI%JHV8+e*p%# z@_M$#g@KFgw1etD;9T-!$^hbHFQpw#YkoHx>1hYCSX8E6OpY7~*x<_RMX_@7g30J` zIfl}X&CXgxE?sVlUilB>Wp5k&suP?t89_e531{{xKmu3ZEz%I-oq0VV538U1bM@z> z))CZsYRes-BR~{lt)2G_;b`relzk)C+OZ}jl2z2&$-A}nAb}%e$t(yTF8&;9?R>7_ z$Ww|Q<+XN5SW2%=Dkk!_wxa}+@&bp)N?&DA>8rx5YqEV2N#zG@r3NE>vXCk@GAxXO zszvFjOD0pCNG>#ci(`~{GNkN!S%&R_4dl?bN}k-}j>jD>wNEF7daQfuPDzn{7%I;( z-Y$((iu;5#o+vO`IJ@fl5kz;B$yYJh?$zsV@Eb7|K~Cs$rBzuZ{L@Drlm=q*aB-+5 z!4koD6m%!Hf9l{Y?L|F&i|Oh>J&ozxnspYR?fPicjp5z;ge(iN-t!xP46eL8DOwek zL+!^1t7=-^p_$ZlRK9)59G+ksHjhVPR556+BQh<$d&^jzHC@volZit%$+JW^qjIfD zK6LPCLDCy{$^x+`jDSm{yV{NbN@b;4aqOkDp;XrErm(fC@b$Dqk&slFFedf2g|p)+ zj}=cAu;>LT17ag!e;lyFm52J6YTB@38>kv%vv)oX=Tky1fOF3{Z4pBPNF0e9@;??3bM4#2*i^gFDa^Opf=Pp%fa?0lCpf|vj#?~ctOlF%r4^@4 zDfOd1^bv~0n+}%)D@T@5Ksqc+rmpOuZ~wL_K))L)jR$l?xoCq$F%$Pm16EMEH_hs% zt#{>+Sx%R7CVt!gdR08LF#SP!K){27s|2r0Rru=#KnPdf{TD-Qk7lEeGQ8|wQqj*J z^i4sEY=Iz5(}UU1+NCMEmq4ylR?&NL5d?u+Fp`y+P6$5x$JQ3EAP?RR` z0SLKz|8QG`P?!4l&bW3Dxk+%a&m6VpXGTBb31C8`7}Fj5!(kuk&kkDf90r$Lka-1? zFK4U$DQ?A_g09B$gtwmCM=t!L9O;rtwL&VqW7IeS!o;h5sf!8BJzSks<0GSu{px#N#X_PVI7~q-0){q#PwG5Gs}fSz<1lB(|e$ASoZH z9Hd`xMdB^1mYJv$C>#}uN&;m;<%7Xs)iS-)js=8J}YuE$7F4&xeYS z#EGzxs{gCfkWvhNiTdOjbFMN|DlP;AjHW~VTEmjoAHwM{~=W~P2t4G zs%f!k)1oVz^f*#+vtAjfO2wOO`DQ^!H|r6Hd?G!vf#(4$TzN==ZKfjPM*cT3J6`s5 zGgk;LwyV;eS2E8uH~^~F2(7hm@GgM`v z`sH<&v!uHv5PcvS58N=%7*uKbkT9i@D+&O1i7^jHY-*tn7~M?u*;z#Pd}1V?U8^H0 zX&*Mm`=l}8fTL;Lun&X%tz4g7xer6Ngbtm4vOu3uN5{u@&Sm2kkaDAfF;6Kf6Zc^O zqs*Am$iu}4s;n|LSXd}7L5KKr=Q-q>c}Z8#xNlrnQ-bQKw0!n&OCI++j2E8Pn=r@9 z=aP#CDpL_hP`oPL8aCZg;CrxWCvS&ir*2m+nlRTH4R3BA(uF~KrhM+Z%XxNYrHu1#eicH8we-Wln~D;Vh5XhqpjFvXLhd?CFwvVkm%s6N_E z=3OzjG-;7*3gAggoY}s7F_dnPhwf<#9AIEu>`-a)m2p=rHZ7WX0#YF{w=|WB%6n@Z zEa!`(C}zdngEo}LTDxFbdUye;?XS1`TWb3|Gj2=G!{&rV!EM8R(kbs?selzK@O%nJ zOXHPTI8;W| zgT5(vOL_ujvrrb}n{}^*0;al9FF21r1og>@)hgMMkzP307>k&}PJ=2PXHN#~>p?g3 zmt1OxiVb!rd_YhzuAn1`w+=c}z3nnMOm*Ry#g|!hih^-qdbPV!idts)AU-YQ5Gj2J z_=_2Fpf-&oMwjvA7f>20_Jwj8&mW&E)-+96iF_^)&NMfW8r!0TGq_yysp?tw_Zd== z@LMfo)}3_-^W~h|m5n0>|E%)A9O>9Wm>8=`E}e`9Qf^=$PmwE#11k#9Y1mq9Cnc4{ zAb3k0K2K<^J!nNX^4{34GZZ_q9kB?P*H32}?m%3okI_VrLutH(`M1Wmy@VziYj z3g?`+{owGVS{8qqfSnxd_B{=f8$`*&rXWI?U1q%$%a6zGv`*ge_5UVSdb7n-^+GJ7 zcRg*^saz`#S6W(lMZu%I*C$Lny`?;rFWnDI_IO>h>MR1HeG z8J~W!cVP#H{oHW^xni2m(dEau?h3FJs4Z(wk05KKc!mtOan2B50zX`ONE{rT0)@U% z7hV6;R^r^HX6f6lP*bnzgKy#qe*%vchzoa1!omw^IYG}vv_KcgemPr1Q7$QMk96c+P*l9zzT73m!j-2+CiJzyhEti;ELq=BvbEWWTut0i z(j?6a$@x~CK0{WWR#mtOEq+`)S_sqtnGfTDIpw^5F`OP3W9=)x0oK0i8x?D>`RjDd zVHIq>^n!jys84O&BOm&O44w<3Hz;EM*?=ksC6C;=V;`Hn@5$+;@u`5HWE!7zI^r_| zPa1U8n;;#;>-1)%<3o|oIb(lQ8m)o}#~e(J^(>tLyI5tu z?3(GMqN^^L2vu~kySD+ve%=3|>a!mqmRUB0 z!OtpvDoP&80wP+C+RN&h4L|uliLW#UJ@IAU$jH-6^y+$M-n3lL3{gG?qk}7N9vvm8 zFPL5^7a`U&+u2BVsgCQ5?XL29>Re-!7A(8wh8>eOhwdlW=(}D?;?XX=fClV!8;_1L>Zq~R6_e`F zA$9Rtwo=r5-GDE^Moa+R46120my@&WlmlIoTkCOzy<5l8&0WUpmCjav1u#woOmO8D zv>hyL@m&_N*IGicEX{zvK&iYOHrTtdJk?DfJ z%P$7YtjZ^W^UL<)4)8?oTY9_g6Tu4aW%IqXI~<66qbU(cf6(3G_R3m);gKTu=R+9C!QKWYfqgU}0*K-HlzX{!1y<(W2Z(ZnF0^d;6cuiRW4iA)XKhU$Y@ z$1`e=DNg7@eSj19BGq(IKI%?8=*Y|T5bdx|uX6S;J{J%TVXj9M-kx|&;1(Vb4^1I?E>`2IkU z2BOKC-!zgr-$r1IDa*lrp3)B}W2V9|XHfY@bjH`9KDrvNB@4N#5nbOS`kROrvGZj4aDm^4-T8U_eqDPn9$!z^T`YD?bp7yzeveX@OITvak7W<4 zDL=^{L3yU2=YwVrBi5Q>Vy30-7s*0=#-}kGT*Vd*CeuZY6D(slO_Gu>+@t|%w(6=0 zWc5{o*E~?y)5wLnG!xQHjLfDNbAuAI9!$Qnn7Bs!BMq@Ze$BSzo%n$Ap{K)6%%vR& zC%u7Z7GL0wU=u+)6`XOa(LRKe!xq#G{_%n;r3!jR0%NG^VWJVPzSP(?x}rrfBy)2! zk)h${2Et$ADV+s>3T_UzH+p7l%|pbp;Q`XR;XWnjwr#j4LM<5cq#?Mb&5V7q?8(B( zkB}dAaomEzMKB1r3x;OZ4REj24dRULd&i%TE$;oS6)!%7d!@{JFzP>>u#YKI=8Hg0 zVM$T9(Ys$8IrlfjIohtIpz6*rv*Aj9T^Dz7e21a8j(7kEl*C^u9pKCU35pZc~P ztL`MN@Ug+x0XgkESp7z;%Zf-m*?N~(S?P&(g~JiLYg+xs`0}*BwdwZ9U0Kz$6G~2| zQU3$HkFDO>{C#{#u)$=^VRWWD0XH&clLFTzW7TwFL^AeAsMBKLs)YpPWKY6;j5tb_%3Lqx);Xw<=+}d0&E*~C9B59#DDDJ@! zV3ws+(bx>x|BiuK20a-juquWrY_~P9J4=Xrg2vYF>cgbr8b{RD;k!mjn799}p8Z3_ zk?pL%S^P?#$C!LsKZFg(I zLx)wr(y*uhV7Qf)x66rJ^!b!GQxGt8Fp;-Ia5%K&?bk@u{d&ZojptL238RQh*w>+Z z^Q)$N!nk)(9EBlUp6m37#wX`~9I?bPK+)9eO~Zj1 zAxw_KQ@XG_XGp5!P85^s)8W?UnbvH+dPXi$nXR5~i^8-S-I&eWI#quO!hx%kYw*6m z`s?@QQoCzLSltqf>E?BBT!L(2|DDUnM;Q{t zxU}I;&xr$|&F!9yJ&!AUjMFo~qKRfNnAg(TOpBXaZH`5#u+(D|N*KXYTIN9^K z=uEn%rh}obl@~>I(0tmAU>afCvUelOu4hrq2-FJzl*zDW73k6LVSpMual zkyd?tZzqONi;Kq*YU>J^`e7x<#>1^qZW2wMaCyz9gpF(B?uHYU1wY+)+03g)#bvOh zyOVEKl|3>BYD+#PRf74vWZOTVu(;Ff8thP9B1iW>?lkX?y&qo1BJAbHt5C~m z9UoR)NRKaOZe2^}Y?C7F_SL5a{c4Bjrc{{3v8y_$YTSb~09)qpyp*lvU?C<_aoYt+ zrK(2K?zU->e0x<8AUPvdVTVny!SVjVKx(9EBgBYE={_|1f}R4KI%f1CGJTBHdQs>d zHyWy8p>aciu^U@x^%6sBAoD&jy|013jeLz1MvAs|j+W~5 z;>Wz+Bwl-_)zMsgW-IM1Fgj6^H5CBgny>8{(#}7V0w zd)^+n+&dRW z`g36OTLIs*(|=3y@-WJ6O$jFev*s8$*A6wDFwQ>o0 zC;=HIdOi5Ck~LmvgQ*uMEOl!DZc5$|v*>Lw$$%V6uwr@05EJbi1uuu{JD444B<^wY`J$tw z=cOagxY^S40()5QR7)xa-l_HtaAO{BjUgzvXK;4St9Pm``}2w zi_V0$yXkJL#jRr4tti5_S}ZB8MdmOd!tMhi%#hv-mYlh31(~x;GIir7-^S8PabcuU zHQ1_HcQT&AfPO$}=KFAk;+*$I|2L#5miECFik_cGY)+b0@+NrdSPbIUQ^t}L(dcFO zkX1SgS)~lI$Gf&>a;oCmcGMM#;%YHVN=YxDN!&xSeEbG3EmvghSoKm}8>k0bCTaD%7x zYc4Hc8E~13rXM^wHWAtq3No1Zp&<=PxT_2{M(KZVvs=Q2mL2=@TBVv<@npp862sV& z4e~$vEBGKoX*8rkqZot~i^|R;$+|Kzg*UG0_n01=65VanT;>G=ILK@;zA*-aFG1*M z3(=5%O*>p&-VqP`*6mV9uYx7+`7T%37P`n9k0#bfe7OcVxS~0uJo%2B8D=Oqb4mGN zfTTe^sj}$WBc$$H(elx3v!;HmNTi9qDV zN3EK?6;o1tD|RPvWI2=_l%ueUA@i!IvDd<_GSzp8!@If4pY(TC|IA9F=;-spO#@;- z#lN}>iML4@eK0l92P2(-tqrg~7`x4M7Gh%Q+mkZX`(U1idk1aSNK5mlsf2S<#)`XS zeIJ(EcTvvgXdX!-xDN}7*TJF9#r8gUO52P9Kn0`wWjKv<_jcT0*_JphZ9QzCS;LvV zXyO|)eXEG?-F(hF1>T`wIyC#i`~=tV=?N`YNPQmbzuT^viVT{;Hw)qJpK#_`Fo0ho zk4Zw8HPS=h7kX7FX)e`h|SavOwa4%rs9y*pnBCKd3~kXJhV5^-in zxS}1I;RS6p@7t=M@v_t92p)YwO{FleMC=(JRzfn8Yjgy88}5%O;7J z6}Uf0S6GR~1JmHKD5()P&y!h)9N8BXuW*Ce-S8IXpLQWfs?vfnVpk2US`Jl@&~kBP zc5N9Ar+!EJ=YDE+5SnZ9-py+?7_~hC?BFTg-JLPifY8XD3sKu?BvMnNx$`xr`@AEZ zHK#?X;>2$3$~azX`bRpU#A^DF3rAbPUk4PQ86RK}!e z3*f#L3k1zZacOceYPhP5)9jRMfqsC~fl*HoS!Z|-J^z0Re9QNM7;p!^Sfa+Qt3)lzjR?gO^+1Wb=qnMbSfa)W_^)Gvn8gw`>aHmX@D}Ap@M4J?4Wmg7 zUn$CsD8>>sx>Qq=B5H&)mZ%?)co%&o;u=fT=vqzQMRFs+u|$oruq3zmm56jKQDYKA zq87grA&(_$T&t!eMPG^7#}YOAT9bE?+z5g!QR8OA zmnG__CF-KtK?r7vdWa-R5jA2lOVrOu)J2jYD6>ReAxTn1jp)o0HPlGtF%f4TVVWgs zn?zkCH{vx*)Xz$i0JX>)ftw|2yF^_iHzGJo)X$xY8ljve>gNq=T~C0wC<$UZOVq<8 z-bHdFxU)q4g2cOMOc3Q+qJB}LF8WG@dzPqQk|ZgjM%-tK`eli_ND>5qmZ*oHiW-ri zCF)mBMU9Zq67{PRb&=eN4J}cRFsM&DI|vdjQIC|Ui@p-kq9y9rPC-p!qb2H5616Nh z#gCS#M;p{9vk<)zNLr#EQ_Ne?!QO}{Em4n^sO7KhjnL8(_3M%(MbwBfEm6NA@hsi+ZmhZmZ&F4yod6xCqPawXY>9fxsdyt&wnRNuqAvPMgv^$x z-V9f@dj#9Zo~wasQ)fe7kwpygGSdB7Mbro>E>SO+co%&oVv9@E z-cwN{$hbtUNRkxsMznE>x?Z9#k{e;iCF+J^YEe%Re_W#WNz_G>AP~7k?Kh}TY6lUK zT%vB2s6lQ~5`-p~s8>jm6n!OPluOkAI2AR5l}ps0OVmYQiKyigbBr;;0C&?V|ti8p+u$Q$v{CF)QywP?Q)7+s=PB}t0D5)slR>NUmG zq9h2FE>V9eQ5VULnCTMrR|fS-R~mw+OVn#6>LR%jMO~s^CrMI7jd1D`_4-rsMqG7? zdV@q=q=N{sE>UlkBq^dsq;-jUlf=77ZiHNysK1tY1GP9ih`laR|Ff7{)Dr|@m#Dvy zsEg!AGM7^_^TGYX*00A#i?~OIBO;#VR}yhI(9Bq)gBH6qAM)O#iBB1sTRUZVca zpg!rCAf~)T{k=q8BsYS~OVs~974_`!dov~KA0)YpW`6F4_tr_&`y}e3ubgK&XM#k% zUy`JV`jeW7Y`+gk)J0$U*S62(ReVsAq=>rqm&1OLB>B&&sOLX*X^ljkkf=d!Q3n@% z+*vJACyS}Y)v)l}FFZh<>(LLXPWev>)cPr}9_Q{AdzI;mFuE}Q036^crFw66VE~Yz z2JP&Jpm%H53bJID)OFDMeI^k|tek#fWh9OubUrO9fRbUe)uX@_o>IC#c>gT4GXMn8 zb+ozh>AQ)|cAvYU`MsnXwhf4c&8CK}|5Ou7RmH|(S{&grd}cy+J<&Mu3FoeO>sbf{X8l}rjTUvk(3NP8JG7JJ zsQi5=IsqWZDYPr^^3*GZP}hb7Rz%^+g@U)64PDrZcOQrgPbpok#exTPbfiIxYRKYG zY$UjNZop?m7@~sBO9(Lj}mUKyDCmL;NT8`5g3{o*SE_;U5OZPZLr#A%i zEep!6PQ+I8rTu0r4YIrkq=cuGu6tQZXgf1dv(_v=Ox8(20d;GQjxI;mE*};A6EK9Q zl$r*aAwWMSO0aci$Gn-O77-{Gew;{sN4vQa`2ijE8|)-Gf0mY8i3mBwX7+$%)6d<& z7@ksUMMNEjvo2{oED0??(Fvp`CN|ww$C(b;!W^;ECJZIDGP0Px&QcJe7%(Ic-$1g6 zP@KI{$y+o19d4<$K9mgb*}>&}F9--vDK$lWc3_bKTwy|=o$XhUwkyPbyHut#5oqyy zoDK?_sI>K6v29EHQQgcOxgnuzOFfVo`4=wrqIm9yH!l>db_g385ON+YpVq;tD z(BrB6_dP-tLBAjD#^7OFE_OiH2zZal|bg zTE~t=rfs^(l2#tTJt#if1$FFuXx}P)FSc(TPkmsVBMm!AU)~+>2bRnZ9fA*o?-CdD znP;W^R~<&}*!0F>vh_`e+tIQ0&Ad7VK2mSQThLV%wMRnR-pB>3lz4wz++yBKavYf7 z4=mv+?WD|w)d5FIaQugsY}~ty9B2qf!z&MX1IgI_B{p{yr!=|bMHq@907mBXd->r` zY`S7RQxOI0i#v1p|=HW(P5O_ZluaHVEnNJP}jBs*!e= z4Lm|>?{&d(>V@~@^KRbRx4P!lu8ez3?SQ1B;wc?1ZsVtP#6I)G#vt%!U1;n_)Q_Ph zYbV}MJb$oQGa=6d2`}J5SODZoI-`>+BsuN}BC`Dvc)?S8gbU33_@D(ipQnnjsS$8$ zwl<1`aJ(I1!rj{Zx~#i7+CN-Kn>aAovHJw@gQxVzlkruIvnbokh_n5YFq|?g_vNZ0 zZDlsoGc8qG5{Zs|4_Y!-yuKsn&0TD^m*SFSZ}^G6fvE&6NjN_XkQs2y;rA*T@O4%T zxF@OYcLuZ3I5d~CQ^bHh5lq3spA!I&f6wEYusxr-d3M0Z#|GcP7Rk}>-+)G01!2KG zHP)X^l1=sEnV)g%HsP6{NzrE$XQ8F>WwHM$pi>il7L8>6b$n4n*D}Bj$|DC;1+UYVjh#Y!Bm}b zRt`Gu?;lpuZ#lXAb7v&*$PmT)+r=4dwl|JrYOgAx%%Srg1lxdGtbMNBi|*S8Mxqs*lK;6Df2d09{H@Ds!*eVJ&BuGlk*~3vC@Z0Sh3ST~ettw1 z99l9j52xA-np=z2o`C<{H9wbeh(-{=Tm2yu4?{S~Q+5WImTM;9_=oh{oQ~B3$WftI z@&~EmEHH4#1>iElDVFu@&J&E$(0>H2ZIClh2ap%V*Hk&xYp;(RT>xg|E)etjChzwFb6Bex= zhSo6rWxcq-f>{Z8gVZVReGgDJ_It!Zcqi6j3_{f6GDBw!_nre*@RarL&JtF<-#E|R ze3;a%jJm8HH7gaZ@H|K#yAhA?);t)CI$LU*{c5rj!Xrmk>jfuQ1AgHz;C;8ld*CTMi@uL(2VK62ltNL6gL|*uNPf6FCC02>50ZRN;d+K~-6OyO zp0cyK0*#Y`!U8}DU^+XE@4ZEur&%+p=E=G7JOXHb*Gb}mW_L(~2*4HAtb89W z;8B$I=`JK}^-_1}f$S%Zr1r0({?y!M9G;EZ90DxE!yqSHR6m#G1dT+rH##>PC(a_C zIbla;rRU>7uER6K5vRuv*{8#u0VZ%7<_{de3ZAlGa3KZNR51!H1Mr@O#JXpp;6_7T zjcQ@{$^mvqrhQV>=Y>05U{^K$rK{XTZ5uK>mvUE3*=eyw#_j39T=f!9KPSJjk3=ua zT3se=EN-QYIJE47?m~bT=k>EZGhZjpnsFrDQS+uRJ*HxhlGRMuvZmQBh4h$sbv7Ky zAbMLaAL1);9K6ABer3PJHy8oQK=>;>Wf#JqJRrey?`rTmeQuKH5N$z1aw(I)B0AQ> zGp=~p#HdARs0V=!JZ1lb{P+eJW~V2d)i)08!CCz!_0sXpLTg$H`gc#iEMc=Cv|TWy zn#UsX3M*qjRCZA@Ti7>`22}^s(U(|WzLNMiJmB?*Un+Ib`(`>~H%20}xB8mB!4dI| zSA-*Y@Yd(enF|R`KhvmRQRYR?I$1#LKddA_^V!2>jtn&3UN~kr>qx}OQwP@p%ZGp! zJY~N=8OxAQxBOE-2FpLaMzZ`(FTlNWW6~$Cb-5x4yP=7=W~e4?nO@v|B)mB^bwf+m zoHc=LILG3Mwr@Dw>(xfB>+R5puxA0(4S&FlRdEBrhM<(o%U1xz);rO;t%_B=J9V(X|C4gD7z8U{T}`bPuV5-TR)7mA*M?JVn!jL@5c|m zSy(|1z3CPl!hyn!OiD1@_XBTZV^G!?`M{6>dzxJVZg6mq0$RYqy`PA+EhAZmioCzW zmxvR*>BkpHnnl{Pkp(O(s6UY4kCRaVkr&%8ORHJa{+_~hn z6i-0lNVCZBQp&Grq=RXiR@!m+OOpM@WeH~1;fS#(u8sa%9Gh1-KlzBUazf{r*!jKyiix?mvYhch1jHfMyD>lS*}ZgO>0`&J6D7 zlu()jBH(_GdGOy*#?rJw^l2RaJN6~9o>(hPTi2B53(5%h#z9%cK`JCvzDLGUHnN~) zzbzIPvL<=(k3&ml-UE=558J}2n)?%JOU+#lmlP8~Hb$B@wvvCxh2(1vPdCF_2CuW| zI%2K6P7rcs_?R~spED(9)nPWgMF3FDmt7%=2?iMM!6@jvw>5nxkac}T&98eKcW<8P zGggb98(jI*T(57ux-YvYGRil7HUO{&=k$2eqIIr2UrFR+O}%_=_Hb9*Mf*bDIAoZ@ zE<5-7|Aet(8hIuEG-25UL;B&!?Vo`8YyYcc{@VAaef}|O-LIY2F^Hq4dO7I8Y~agc z$g8^ZMc6vTmjN8LwSc3x-fqdK)+KUgR;+%;6A`;3cxbJv(etiWSCqp91%Wry_N$S% z;T_qWUxU9fZO84p7hrO5yZ#H}hI@gN*^9hY(Ftc+ijri7pwib&Hlg)q8h}R*Q|r_4EyFCjg5TVuhS;ROf9P zxtc84B;gQEUSTmDL`HM)Tj^Sa0lxtm8UxPkZGZfY4Y(J~ODF^hk`u5VUTGvtUXk9= zx+UWEtJx*PyTVR%nLb4^flScevf$zWkf~^9Kyo(?9{zZokf%!A4YkL$q~pkumGCJ4y+8s_+09%KLABJh?r&Fp z0e5&ywtvDQos!V5K1GfQYZJ0Sw>~*svWDtkm%8SJ{Tm z5$B;sCkV=-7xel?s|sKp()xyNJ6yZ>entzn|0SsoZm+Zu$v77ZDz`M~=a@CP4LQp7 zzcSnt^Ps{Dmq7V~blt9b;&rl4%!&<9ovrw^&bm*c1TR8Tw7gJreG1;f*3=!yk(vY1 zPD0udY|O8oA(sHc+%3V>NK#%wQ<@wJC?8bJ`x;QynNPWsG8D!Yq7{Ws*rrOj3Uw06 z`ZibPD)}ZZG$2Bv-Yrf|$KkM8xH%VaILgrZ7m0~zn>s50B5Nb5ds^DW)f$^Pn;Whs zR48QCRXs4=90zRRDMK|VVhAx1m~lwu_8Clm2{;y)f1VocxX2p5mE-A7c_<$mojEaF zBki7OA)P<_^d11a^~2C7;OghL0gKU*?QFNZBjcKSEiQOhuDf)%%cA};$Nfbjf71I& z%5WoHq&A@6s*d(~>i+*+Aq-CzLNjP?Lhb z2sd4NglxUcVRKY${$B;Po!#>X|4C2&AD;vD|9lUKo^@smzH?->j&1z{1$qY{v6~*Z z4MvnjJg8ArVQI_@3krOd7iZz8t;%&66hOTa8_r4j*t*$Sqw z7*Dh;MY99}S|P2cuNDCg=>AW|@fi|Ju)>x*BHT%dc@SnUamg0(1dOA+u1Yi0jilyq zG@i}ZqyY~8dFrDefAwRHWj7(MHR*r$~d)Zi7oh zM+XqFA@z#4* z*n<2)+8d%fFY=0dBFtU)L||PG4B;um!6_0R9Fv;o<*x(~vpf~XK9U^JIAL5QIq}3- z#6tjZ6_XkC3b}VSkHTHUq-dAbmgTr4DzIag8MoNXGBZ1hpDb8rhzXO_GVgu;b@IdO zf-gCs{aOjBqfxlwP2>}FivC66a&s8H3j(S!a!7|r{^6dw)u|M)>}iV$k0!+ zaG$0KdYbhA)u@DB_%v{2B4RLNswVY#p}b!CpSXwM-X=Q~HfJtv_~yrzbh6VE3p*pQ zi%f<5xAVZ`LV+Q!sBR)AlX{)J@nyKxd$?$nYwHYfq)a?(7T%E|3zHr{8AD$RzIj;5 zpKIX0sZYXc!}ZXMGI(|*JQhYmzi(<>K2T+Ay)uKj%1~MruICeTEPtlV^=&l0_6M?d zsEv0HE19?e08bYRlQi731};3de<5k{l%=*^QfAV%#WX%UT3`i$;KLIG#Eg(@TGshLk9Mk}VXZ8(4@wa|BEEG%?UWWG-!IdfMSkk)M9amd}>9pUe1~N{oJSu8P zNNb>H$6Y5pWj169I-x5ZMT`WzJ@0jmxPhvDV1kvb=&|5_SJY-kuy***ne}~^|K~i= zhPKI&o{w!Tg@i;?nP7nq9IeL02;80q_a5>DFHRFQ*WC@3;yYWiGvh7cI(H}LJ4`7crvak+wX!v)`W)bc`4T)cjc%c{)zHV}YK zMi<-?eA1q{vgavdW_jtpJ%#-<*FaC44{q!B3*SAwnl_$Hjy{tmCPq~T#AH)Dth<=d zLWPiVLrVr7e1oK&SywRaNcjhMzG;oaYs}hM$e-YOFf>uj*S=r~h%27rj6$4Z+<(03 zYqI%kaU4_Y{c5hu|5P@DV0=n4&3!EvNtuu@av`}Dfhc@@9~AP2M~UMWt4n$LQ^kJs zpR87jiO(uTg+M?+u1Ec2=I$kF6lr{&3sBd%cl1-_z?-g!Z{O=lo?-n-C6;*04`V{A z8#Ao1jp6$s+*qN2@RYsWT~KJ`G438*b^(BvUm$*cP0sM)#2M*%dXIkXh56f-D#>`u z>&dq==><32;QsT@@cEBfBh9{Fqys6Av58;80?*<6oqQ=kKo-z*Xj8XArf3J$%d5BN zl4G3J+w8QJhGvTPHHFdvU%cj_ah3?CL;_0Qbj**z8<a!ood?2S;VF9+{^Su_ z4v^#0DfeMqEA!g>LY=8yGQpEqu{skGJ3YlZ(+K2;FJb!~7`MdLboDLrtR+i4MRg{) zircq)`uT~djnM(=c9V=H9&Km{hWuemhdqNC4Y=FURKqwSM zvjJv&)oY~n3wJzQXkAqZX`@!JvSsXo9S8rOE|{e@(YuY|@(Riq&~ha>#Quq`hsoqt zDO)zK%Zft~?LWd2Ht$hD@5VN0W4p72U8-_7)rGxvjb#5~QMvXl{i-DZcP7T5^~{1J z;8(r8T8g4FEq)#O8jg)^W!}Kwm}5&owN%Mb%XiMyDa2kq$sTT*KB=`3I31nRw$`kc zy|72Twae{tn9UXU!7By=7kJ9vgg@y!sO6($>`mz@)y8unrP_3^*cDjSc0X>7Jki0~ zc!c|6Tog@~b52n~Ap$h(ZqKk^}a;cv?lr)KA*?Q#l2Y@h8GXwK50XO%MJuI+8?-=+`l8bY89?}JkXwC>5patRPZ_3yiZmC( zGQ6W}Xvx?Q+lX^^xHVlKNVLB-#bS{Frqj9f`KCF=QGWqEnR?8eF^H<2!YTJt-yp?^3N+;EjwY2R>JM(B+3L}8i1^)1jRq59gsg$w=G-RTY$6xYH# zomRqgj`zc>Sfa?){Z)u-uMhmZ-$4iTl`gmfto91HV&i#G8l%zag^q|rt^I9-KRpr} zorvZ#6OfoJXWCaqxid`xeTJ)7LSTfj1^O4n^Gi!u3wbW^`eL46Sh8-R=?_|oQ%Z0Sgp+3It1iMefq1}7y+Blk_8!B%T)ofx^l(>}5xov2JNSYUOwU< zPuawiaV{A6?wb;jD>~#R`K`%Zdc1W@0xy~{Jk!!u%?Wk)zIWhr^d@MHuWpQ&n3BIs z`RkKPBQV%;pw)mD=n696rD!(cY)!S_Y0cWjZQLHX#G~NnwsQ|KWWnrTxWGIBgGzBg z$GdI(0Fq`aKahrEJ)(|}QEPtgjD%s=*^t*vz*|&6-0Q4-jc|Vw%3g!*(|omC_w@A9-|{R6dq|Pz$8O=T}Z#0ao(d< zhsc6QrQ=nT-z0{Ij>g@*Hi1Kd**AE~CUs|+SM-*}d9QCI3tpE3m9EuKQG-G;fbs4Sy}xHo zZ7EUL)r#iuhj19$bsMHcqj6Y>+`ys_d;qw>Q}#Zxqb7x~8h~K-!#)~Hx{VHEZE^}# z@X)@pWP0~}C7wZ8=U~cT;PrpB+IIshc*;JYOoinQQYsj{zzLF@UL%`t7WX{$=NRQB zo7-&WL8W7%(1*p`;GzmIjs2JXvyC)tvqw`6TeK0psM7pY#2yJWeB)0xKxdpI8|DU1 z?2N0XTqRoyQE^buOUxSq25y8nlr_IGPE|#t5#cZnUZlv}-?U;mp+iB@TUaOFOgy(* zT+xu{7H@jD=VqHtY6?s#2{V+(<-lfVIv0}94y-q$;J))d=!|0W)6@t9KlGo@me@9z5}4knheu-}r?q+j_zV*v}&;MJ>*3`RPxCYg#vK zey*^4^^;ngQ|p+#v)~g#V+^xc#5s$nBd^>Mv{6(jyQj6P9-bz`yUOv zoiwAA3UaGi0KQDmKB9c3aS7z~l-kTc!cP6+h$9oL`IApkU9%sA>p6vx8xl&1q}g|i zqr$VGlDwDeDiE$7;tcDsu&V0K3$F1 zTuU}wZ;3`j8?N)_cW=Bl?4tKmo29IfW|ng?`_2Yo4wslu8DtrP`Z=|oUdXD&yy74n zkBw%X*m2Fws-e?lgD|%{OUP+^_5AzP)8XdM5{JdnF|9;NrOg1PdbiygZmvE(oeEW9 zCCh3v!yg<37Rt>-?w|^2dGCe4!c+DM{zfgYkycag?t>xAsyR>`gRrr6Mqa6Ote)n! z$pK3UdZEpU9044epZ+Uyq{f%)23{zjZ$Z|~g6-pmS>mt^+4?=)KQ=x&|3a&q-t<@S zo6W?S8+`%T!Bh6P?u@aQL%$((*&?`#c(K#c(eU?NuF^f}_Yn)$fAr0C{nu)u;I8mI z=d{!2h&dZ=p-=+4)!JO~6+P6WPODI)#^9HYPAz|>Fvb3$3Ys&lB)RjNMzZG`xID({TxzDNI)A93}RSlFSBYyLPF>VN}YF1OXZMjTx)tKKcd7tyH^J|{f@ zCVW`Q4F?Iir8Ary9r4?5`j6)1-}FV-BYB@S9CkQh>jif*`gmRU8DXRrQP#{mg8c=1 zkf6h~_SkT8;#JY@OnFF6Cp*?%9uH%}t&mIcV>QEoBU@gdQjTbWxv&B}g_2G{c;I+f|8K5J=Bgai zZ-cI0sIL_?Gp~ZG%EG}V^u5BA!hQy0s5>Fadok1^O2xscuF{j+&%~paUa%*tD*SNy z0k6N~=qtISQFTw9ZF$NJt57GIWnOx+uSsQk#>FeJ=gHR5lk zA%SKlqd?Uc3RGqGsM=bZR-iz2vCUmnpwh&?TXfhg99V%YsVU)#0T)0gb6=vt-uW`P z(%8#v9vh6cGaf&am|^FasemrB8Gu7(`? zh3AoU?S&%3MOA%&T;$N&>vY?AA4Nc5`FVGikgm{ZpfzaI2D0@Vwq27i){QRA zcAJ%)LeS3xab(GCb`!EJvf0h}n>f^}N^SP4uCZkGPl8!Guy1!>^F~)6$>PnaL7y*Z zc5{k0dnGcI2fC-;Ha@hZ&!^-<#nyc7pAwbi!uf8Rl;)>sgQ|J)I5K2mcME06Y$t4` z5pIBP@78<;cUNu9#(nG7Ya{vgF7yklJ)Bs-)|ZCu9h?O;PiTuhbE{4!rZ=g_$cwIk zyQ?IyhZ>Kuy|ZafGgiZVG`JO-IQ*hJLnvy|+QFdS^T~Nj-O45R^jo3vr+rI|Ym(K-D!8Kq=j%ZQT1M`Qb~^32OKFQ(9Nk_H33$1Zhoj z-)u<-PbO_5Nz=Q}-33=wJxE$Myz5OzUc4;=;i?c2Bz-~X?1OXlN8f*lL^j^4-*|bp zSV&pTne){Eht-m(yKrD<(ZR!H$wA2o51xJxwLy>(Bo59p0;9{4cdaL58B7`l;-yd^ zUb&1fU+ltK-A-1TP!On9+}cJixz(lIGSWZzk{j*W0iYk?{OopXSNmq4KRK%XB`qF@ zK?(YjUfD`8gSi$t%T@yMdi0Jf|I}?q$nFo82EhP9eu-A-hn6hc`Whsd zNG4Ng*qTe`N7Zl5y0Lekb?d{Q}>D|Mv>G%LLO<$*Js!BEMYfBHzs@GsuVPK_ zV4@8+Tz0#;>CJ)2kSC?N(oM!da5DtGKRQIz#)*F+o+)8Vbmb(E@*OpA&;bE`v>7e! znHWfofhxPx4Jb8=(QS-c#-jYMxukp~p!p-MpP6pF4Lap+7Y$tPG{Y2UG>4fM#RL{J zZvX7g5RMe&sn7W1-lOD^ec9I9jfFzwvG249H^%$6=hKy$)~FQ=P9ZgUwTTHO8nU8hsy%<}C|; z1#T0*+?P(9Cn-=$wt?T;T}nts7#DS}Sp+aspNd@TzBD#tjx5YOUjlgGl_l!7b>|2v zRzKa1?U)C5biSL-1{b;$8rP_f`Y*Hb8!}e|9K_jCJs_{9Oo6NaV~=Rf)pw_T1)8}cQDWxr<_QviYm5$84KVBZ zdr;TbybJNvht{xfgC~~`uX9B+Gz-m}uyatr;uDD{@|o#v;}UaQgD@R>o5LDE=BfPR zAPm`hq#;!ppRBz+tI<4FC~fAca3wzh4B;sYcV`Ty0c}_rR3CmtoXzE7Ye)0Nz5wK@ zJ_=*wJj+uls#kG$crLfPse6V4w>+tfZ(we(9p7NY!~@~4@RUX1PaYHFDW{aCCyy6B zcOFrf*v0MMzR4$|#-Zzs!)czQ6q{joB0qcy3*R6c8>~Exu5{U)4a7G`w)5*>OeRzD zX>&E3ot`Pvl4JO&V>1lf((IDh(#RsOU%NSP)KOCFbj4G(WAnLi?W=^Qp!5XZ`gN_z z)cA@fizSRMI_WRuxKY9_4b#HilzUOmDDT7m-C-rcncu>x>u)6w{p_S$Ity^OG-58* zUhq#>gmmn8E!6U(x$vlkELYLN*>jbF3}i9|$1cD=R(bDQa$t)q z96Ycgpbg%qx}%_Zrd1B9X?|)++$P2h0lDu0!-QpRK$+O|2HE_UXg^bbgP66Y{wgcM zHgjJpX@+eg%Q%-(aGps7h6Jps1;lpkXZED+zFft%3nOt2gG95JE?sGQIAqDxV}4?pt+>p z2ejxQ98&s^`1v*aj7|+(BrA7hvJC9^-5J6mCA}N0-(QLC@`cXiBX{JU`R(6y&;fdW z;S23epVEHlGOHWT7j*iy0-q<*DEu2)8?i8pQhvbS`cbD3&`@w>J*S^8JACi!2=}$G10Q(G_8~(UV?D5}gBL=gH>p6B0tZ-ce~Y*W zxLUH6K6UVfJK{DBv4?H_Q#^h?O$bi9z#9ya_PgJTg!8jqi}U_i{X5X3*AnY*3u#;D zUZf0-A82ZA@C7=cGs|f=5sUD4TIPQDcNYMUeqfI*WT0}5q&lC`!ue|0xg}es<)RU^ zBEq?(L?Xyz4@8m~2>jqFJ3#p|a|ikZjYDbO+#RmnK)PyWbGQEh2KFE)94z7;;$o`# zAo4RzG~1c{2Y;ip0kxI@m}u2U*U|Zn2T4cs0pe(F+OPWZli^cz1j6}Gjt13S*|I-P zJ5O9;I8@IKlbCKuAZI!)@GllOs{tsKl|g252FbS8ShbGUS%t(%t2#~7?vn8La={Sq z>cnV&An<~xED3*7m7)qn*OWhTJ9Pm&-}{QhJhCZ`RTyJpx5W8=7&Vk-8xBeQmSZ18 z3L8$Kf@rI2eVl6L2`mCTrvIfx%s5o zI)gd(d*Ma!lpUro#KGa}0TuUT0P4Uez(8Jp2~qc4E@t`)hX(4IzTIw(N2|@ik$J)+ zoFlj3Q2R_$JMhXL=s5e%sm9boDDqm=0eyi&Dh4tfrDie;Jt6a5KNb%Qf`;h_Wu_KL zbwRYWoA)+o>6RH|vMwxPq9kW!;M+kr5zKw)*fQJv`EI> zrQwobDtxp%Lr8Gq8LnX^&+P=jsi?DcE1XodzPQU`?$V-9De8FF;(gRBhVntPPZ(90 zMRghEZ5Z&o;IHtMb>VOQ3ea5q;0oG~`4Ib>&ysssKCPgx#+W1|}A12Q9j2+rRjw&r)@YO@o-7Fek8d9&N* zZf$n>)R7}S(X7ky9B#_m{pT`HW6 zWx%Jmu}%D_FL6))NZc+Rum9ffOO8lpu5q|D%v9ylX0B13Ak7#C^qvpE-{C2z?-D1- zi%IFBC4C!vlX!D)OQyNGcdL>Z6L0Dj)zCs3hi>Ej!yzRkbn>DxFzieDY5WVg&4T2W z4}An=cqa~mKJh`4J#SAX4UZPKySYcZ3RoI*SblnUmJl8Lb^EgYr^BTEXw+@%h#U=q zIvGGEF4`ia?J56)_1>eeWJbvO9+ zj5UJjfw98Mvk*OS?q|Le4mtj=V^ zMacx7jtXbPT*4UeH7rhfZ{%xO9K(*6pM}3Mg~p>QIX_*CrSk@oirRsK9e<~$k7387 zc8h4ob3Lv@CjTG*z|80`?s0BEaUq;dc}RW$Fbc4G0Dj=uN}>hh)}Z!t>X zAe1xls?lg05k~nrl%=4oXF_6}e&NRLNz#@Sr=)9ey5Q^b?aPJ{8c9j{r2b*X@mww> zS5-(TVJ;sat!A~6`~T)>uD&u5us$%2Xi~8Upl^6DH71wYy!;F~8uP*y#CiA|n-|<5 z1*@nhINU;_*_LQaSF_^d(;weBJ!(xJ{X7ta=^tlz+sw=fE(jy&C_f*0g3v;=1s+Dx zt8~li-~d*gI2^V2vMOE1ZU#nZA&pIAd7thK0W*F)74-Mhh;6`JSJHi{*M8^wP6D%K zV5X$9N^o%aYMY&I8gu4}2~>qQfF(TTKc}pPc>^O8)aUKk7vzM5?lR?BRZVwnd?cP7 zGbP#?QeamHS(Bv~|Co(bo>;dWPuTB_X0n~t+uRBNh+y@nQER4S$rTjvX3!N{s3CLyF3S+5e>|Y9v<)V z&x9t!=!xBtEar&dE(6sJ>QPtO{QMHR%ku-ykt$Y?PmYo^K5IVrHlMZUY=;%kshQh^ zU@GnBh6H;ej1oing_NOSk|3%wZZcT*kF~`2x$ITezX@)oW7?7woSH}YB{gprTQFQ> zqwqi7*#`9Efr}n{iY$K87V#|}6bOau9=Akr+Kp7%GF2&5RWw~<(IPZe<_+)=0Y`zk z*o!E4NlW2usG^@t56=7!4vu{%?RmQ<-({Q(&)A9J3s9YmAffzMCu156>HcNrC*WV^ zd?E%3yPEcQ`utUS4@5c6QLv{;s|ns-hC|Gx{k1d}bSvtmTgRa#+b?{LwEvIQrWM*R z1bDnrkqe^{dH_>T0YgaOQ@q?D;JRV~!Npu!?f_s%nlf6CralFL$`*Nx+m$k+q`2KY z%8D$X0aoyoLyHysQ)F86+8xvx9y<*Hl}{HFu`l_bY4&@^=Q}TUWnBPJ*r|C_v{)8@ zH2~$)ib<>IE2;@JgLR18fImFtW%NyKBESK!gpTrc>}w~4iOyddSu zwgu^QeD1Z+W?Zya&+jw4nQ$j=Bwx$>Nn(Op!OBI*pgW=t>3zi8xu!jU9ig4EL+q_dtS*CYptsrOK zmrC)qirUXc^MCorgKDt`u|lwlYSq+3D@gSrajvUhHn5Uh;fR?nK}ne_ybKu{u5cjy z6`u0T;ZMO8!nPK{P+@(+%_!}Tcx(Hc!I0b1{(2^huVoq8lT>D6zLpS%>H z=^DZAV8+(`fmql5QJk?<&qN|tR}*vLNCb15*?@-zW(=@`r~J2+Dbsr04uHFYxaC(5 zlS?cP|1I;Cit-2DR$+7Ki3Yp|u+!GJ{srg63bSS>{A27J-X!s;4(!y`cG>j+_+HpQ z2La!kdfFWWc-0qUa%M4pM_(_k6-av&bYPgB3&Fm{7E1Ol_Noy-N8L{I?A~l(`8cqG zr~HcUEFn4!>f!Um&LhN=4#%AplTwOe7S4XGZmS?s)pMvb20OovzBMeKsrgd@kfRKm>OM?hkx=2vlvx!HumSt3PU z^qR@gUAaL8nbL1GaKrCeHgDs@{EhRqj)CG7w~h`AoO`m?XSTX6si5S_**|C!{UWpfG=o3 z3qK4;kCr?vn(KX&f8=#Dd)y4pg}Pa}9;8dbKt7}w43>@liuguLPPJYpjW+}@3MrtS^4!SCdy7Fg?WT#T#crkW?lhEq1QSxshX=3IY-TvVKk^L80c&X zCdb5Emd2f}IHkcrCFhO-RDGjxQ^2}${a_Q}^6%}-%_rYE)? z7M5;boXYXaggET|4bjm8q0fb<{QvMbHtabFytQJ;DQ5g`M9N}2Qt;|7b<_GrvU!7O z{OX@HjGx0{H;X!$ENA<^n@+~it2e6;T5}%RaDl}ZT=(;IhzI`mmHR9%W&Qbp%>(;B zOD4+qeOSR~&g5pwSDGR%t*5u=5xn$3bS5=pj7pxBEN@q=QJ5QYw3fVlvof+H$q}7}mNuU#ChWhu&l@2ic zOZP6l;E)#<4~CWO9Zsx23>OS_Nc&|Xxx4u@dxQchX+g8`0taItu!5%?!xTwhA;+y3 z_@16lT(*xL4Xu~?Lz$s7hz9CvRw45_^V$RS|7_B3r~Hcif6k{L>6;iPR!=1E$a~r$ zrEOIBHa`MjS3XZTA?hD2&IiLk&335gw6Vp z+RyaO`e2PjO?!Y*{9C{So^oVr4#aW{F%$#+;RE8Z%m_C$l=^((!5`YpiQ88h3O&<$ zBXmo_T_uX*J^ggZIQoxHhs#RF{qP3vw5IHI5zDBiURKy?<*Qf0PAmVzoVnhd*`K};oG);@L6n6I-omCC@BQpG$h#x2uQIT zTv2;P{QCE(k?u?;|GDI)5%z3mW$apiehi$W&S)7kI1wP_%LgKBL-U!AqA}o8;)VQ) zS4ZM3o%nN7;rY3Rw0ioe-W=RP(+8@#CCr+mZ0BGt zJErc0lK1Wg1Y~#E%2Tk|#l?~p_$Q7GF4G=;s1Hc%*UD_17bLZ72q7zLTUB-U!Y`t6 z2QDi!Bbdw;cmjV5@MO*$2e?Qz&O9ra3w()Vuzeb&b=#*&5l#Evv@bN$zxV=cn4&6c zifL6aW@V{Sv{^-rVQ_Q0RXO-Ez$(v+WI9r_6UmY0K+JeJ60rmi&C&vehCTfUTO+2W z#V`yf44!h_cuQ*v@;1708S5hs=jYCb^b4tw<1N3HVjP;fkV^;6DgjzsbWQM-qnRn1 zH`q^UXQF2#+EmMYZOU{7S#!E*|J&Zc z%>;l!L96dHeoM+M;K)=StH#|pCcNY63Y&P}3gWp>^n0F`991DTLHA|zHbeW(4m(NJZc|f^gj(MxNCeb>B2 z?CahVjPmh1uVIw4QI~9#nIpyEyJ#3vMl`hvqNy$RjBoBYnt3ltea$#K@y_|$7i@sj z*MM%%bpRbH8{9uruEkMQQn!gSy(>tK1ol_G-iTD9S*K|U(V8%Z5EKhyg6{x5M=^(% z9DJpXq(`|jzVt|Fmg;=f5yey$o2?++fWb3W$Z#yMfv5Zl%24v6cs@gqus3~0tee+~ zvz1y~@W%X4#Nt@63*`KOM!33PVa~9}b^}RnLW|XQm;}OiRhKXD8oJcm~tP%3BF5Z_Y~5g1yspG@S~LQ9Zx2Y8I@cr-NogjT;y<)wWQ^LOch%oREIh zY5QXnNc-cFOth>0abME!iaeIF(;cUoa|-38o(eVsgSoWa=RnR$L9jD8Q9AVn$T__r zMcRd~6D;RsB~!Siz=Si4jjY{yLgqo$doPdOewY;Q5O*;z`Cn1}>d0j0tu9*zD|3Z> zj;9?khGVPbX=4C%e?vnTuOA9oa4pE9vI%7IB^DBHUtI3ZrA93-bvUy^wiJ5E^Fnp4=x|u#9ou>Zf+4xRUSr%ll}G3jAd8$*Iy)* zuFS8(u8fVF8?4AO>tN$N_&_3zke+N^btxnxR$nT(oZ%-v!Yv1!57y#Yp1%@j=2^~A z(9JYvu!ffO+e=)R?~5lN*_gNg=DTcEN&*#f$&u!Q$FXB71O-C)M+jNKZe!mrbe)_A zZF%Qd!l~F9cqx)`iAd4a`mrV-hSvir-lw! zP3E}6#my#jWy6rO5zMlQdjWszXQUkPBAIlahFb^!{W>}HZ(+&~X#axK6GVO9hR4^E zYAS7}G4UlV_;?W+QvK%>2USr$%`np!GjfCuH3D*1le!*~zyE-h;jcp7P=RJ;Jgm z;LUBYon5vcvPem{tE1sy&Rea?~cfM)9tfu>WI>$k=MlT@&Q|B7e`2JX|z|+=<1ty7DRg&SR$#~bCpE6wx`o>cA>A| zt|s$U9WA3Z=#Yh^QPO8_@o~{G|2UElG}k(C=D7rz#$hGO%q?(odyACp>Y98bs~~C6 z#>X>J82T}9{@TfyCiD84{F$%Ao@~zRqIcNU^smmG0u`j55kf0SYR$;rcb8Y*|8m9Km^yWc@7pX;yIhsq$bq!BFXf$1r zpE_^_nLe<{o9{BbIg|sqDp=sO|Bb*32BCaRca~s^@;bWHVgC`*<(HlL18OioGPzI7 z^*E3Ir{=$Ua(3qq#Pk19P}JEUf#Nnge#I}GFT}xO+gOYji{fKHJud|Jcg}({E+4sV z;jRTA`SRCOhvj+hNtb1I{R6H-AaSDY%AX?P&e~Z@!Dk*#!)@$9U+q+<9CEG& zebe1I4}+!)Mtn#I_;0^OUQjn7VuuSsUh??L;7}W14TwMQE6d z7*dO4gKx4aW!h8Eh<;2%kNIQ8V$dodaVq*;wRgUzi$wS8sK zjb&alRi=c@CLffUu^dNPGV27Z9yVk?D&fY)QKV^D-5!@vzg zD|pJscNY^~0mLqX;QpGiWbu^%$h-Io zeF=C&w-DPy!*swa9FavuVOxglBAuo-J#E}HKl?WuIi zH#%5%snto-Nq!SVAGacGjk~+^h5Pq3$o7I$KYSW-X3w;QJGx4|`7u13w0g#nh~^F+ zf;%LVG@IlwQ@~<2X(Ht<#P<-1V}=$^G<-l@ZphGTrGB4d!iU5m?D5bu`u(P7Bp@vd zC*S4La?c3O{y9I4E)3txtF+Kld#**I9Z+^h%u2@$Swnojw9K4nL zuPOKzTuXH2&`3hj^gh^4eF4sKb6>HQK6c28K4408oXZ;J`?w9jk3}X^DL-@p;+jdo zP8D=}do|n#SuG}Ix_%c7#QZCtwb3r>T*z!DcLP(*%fnOtK4mH?brQS>!f);*1ETRv z&fSu--nGjam-Yi{!pFBUVp#S8myv5UtiNChD)gX(M@jm)MuO?A&^5i$o^iJx%A`Ug z@qkrSyh}o~*B?r9QtikGG06h4`nU&;;cBCzNk7^$wog`6e)pA2Rs^Ge!Gg`k*hj;8VN|9^~q37iu} z_J8CQNdU!L3FzvsC&D6LsNnTm*Bc>3g%HG>TO~w}5Y*vNheH_-*Wply!(=7_Wo9s$ zNl;D|2UIcvhD^ZaOon6-GJ%9n|KEGv)!mh0`TX~@Jr%0IzJ6U@_3G7ouU;wN2$pTQ z@ejVy>6ai#<|WNHs-5|-fZsWJQx`i0$;7>C7sg4Db>TTkRPMt4;3r&N=0d4-VGQ`E z&~(_^G*Pe>LTGxSJ)WBsDzt^d@CJ&(56;KdM7W?kn$2MIkRI?X^@I|59(ayLmt>z^ zyba=Je%3Hvv1^MMi!LwRa<>D<;Y4kcq1`$}$wp`rBTIq)#1 zCVWZ{(~JyQH74W;@h4$q%y^QM9f^Y??m~_9d?{s(D%sNT1S%#-KjR3}_YBZE@-Q&! zAndC??GWoj`*0%*JDPpvbCI+qTe~;s%Ai%pPRgAP6@TDM@w)amzx zwCE7bb>bvAJUE=2V6yk+c1*?m-iy>&O`NFu0X?|76cJrE?w~(I9<-n&?T$J&3W=$_ zv^)HQ>}NBF)R-bvZkeBLK|Vc*h{#A0O3S|i8=T8#4>hfHc{GNt_<~KLh%H<4O)Trf zQ#K%TrlL3Fm}a(_g+w~9Yk)8$|nz3kFdlurQY@g|fcEx0OV zoq7>cl@$$UL0gP<-DE|>rvsC721aEAe8krvME+H7v0VF0)Z@)n*1lS684ag)!hSf_ zzl26l)-^synp!Cg@<_H&>`w?sPKKh7l5? zZ>WQD2!=-7tO7gt*3TFvRL$h*-6?C;j<8wTHKA4hm*rD~bLH@i`Qdm5s>OLlsw{o0 z+ih}owyRQl*WC$^X`wq3Mk(#ddK7~-N@*s4mJ?y_%Ygt5N|D#Is!j-nRoZ1r;WKjN zwIgLxvl?JKvbOya3Fb-Yudt5VR?aU&A>&|0s1#B)5Hem<9?y;l)qIy0){umTC_h+Q zC4$~Qs!E4#%chpEksdFhF<&6AF`#vi2aq&!Sl^{p zi4>aCe))}pwd8M_<@KJT4^Xw54zc(t;eA|c?aHLTNqVb)ZKwggUGbIR0)-9k)kvLM z8~(6R%4{G`ui~Cp2Vu{3Y%EfCpb0bXV@9iJN_9WX1)5Cj_~u+R{qo`9^JarbVImJ%ZxVGJIqq)*L3fi1w+y&i>Ir4N7y%H^j;>gf+5<{|f? zc6qiZF<4H2Vlz2am*|e9+eg9a$zY$5-8|WwSrYU6g+n7C&_@~F z+GyEtl}9M;jA!)ViAGfrv^}URBp4i|T`Ns3rKku-)aoCRG+MVQm%^EX+E{#yx!@Nk9rg~n5mlE8N<2oi z9Ek$k(%=Jeikh5Dj$Ve-Pt6A})JWxu^dUF~wS0Vigt8I20&2%YqWyprTwN-l%#+IA z5oBq2?iV--2m-{*mIQpMfm@xFf_m`wf5Xv@5W1HQc$MHC?L=Bh^f<8$aa~zxUs#n) zdl$@85HMRe!t#MsE;)NVqeRCwcS2NLFO6J&vG`-j>^Vq`I@CQ<(|{NgnLZ?@%uk?DfahQ=sQ`~} z6@;gL3wetd1YM?QH%8P$We)AlC9Tyb98vVQC6fVVp{iY|`H3+1-JnV0eQ}y=Ic>xm zp|)8S=sT!q3;{6F4YQ`nvvm;_rp2tso{2Kf8Enoh!gt|nO;4@xm#EnIt~f9 z70D4V{NP>tvN?B${H{z5SpDo#jX4xPq9Q;8GUtlq0GmicYK-+|pm&z>lK$6mS-&^B z=&|=Vs|E5)X8E_LDNfN z+7s7#{JwZo(ajdHSmL24uE5f}rCq9*y$s~p47GvC=XGl_heyJ|M%~5rYlWo{l44f3 zMdxPtu5B%&-10Y+oMfopZU}k;XGCGfB-! z@VH!Hjq&5TDEkA@J%+b@!8{%g8?y(?%M+eKmH}299y$b#0eL5CYqq+w9>>;~%q9W1 za>JonicX6G{H*V7B=Ss?qmklsdughg_xz+%Vd3-KnmQLxOPQVaroyVug&9%<-JR?(C(Lqv7%p?Z&CcMh)JolPbxt7=10iu;uV6r(+l2XcN@661(wrr#|xzfu<_egi%!Gw`&{ zea;FqHggSd$|~e?9-5~LSH*V0F*u=i;u)_;$;6>` zE!O!&$(A=LtT}NJ)5x%CW1G?n%B+grOtQ}|UjX57i!{?lAaa{8v3H?A3h6#E9L}$% z$VOqwr14KkR9=NJY5X(Rku)Y#Cx*L7PN)r!34=F1rj^De^JQtAPUpoaGd1fMB!*95 z%R3m)E}BK>T?FB99ZiwMB12X^jHL8cyD98i*xpZvkvIf(646F!Y$vLD!#Ez4a!}8k zbV4Yaq;1^7GI=!?iE47p;TUE^;a84L=m~H_2C_M%<$f2Om*}-eJV(kBp3ot;lXh;Z z;c!89RgMJNd)Fu&Dqjoo^rE8^mR{RlfpECjv|lSRKjE$`z20g`Vu!hlVW%2INm=5>BoUPozj@G!U}m)hc)V0HS)Y{?eS%DKj-m(4V# z?&b_{9>4b3349&)E&t$N2jnCS36~_+cD}z=sC}OoYqfj*Zdct3Ln0L!9O-%7>r?H% zw*XZ(ZS3NxQkV0~NsN!aEF@pISyL5>S2EacEWN|r$870nqQM%>PC_eFNTXtDtxO@M z9hA=s`gfAFigAxY%+9ms$|Ik;#PIlsEK&61Xst}HfX2#{(OpKgn*C`FUs-yLei*8u zOrF#tQkEx7aD}ms$&(DGL(7ak5w^&gyNNPf^wtdW-8@=^l1X4nRJ1papoK-7t*a4?)%?Mb|U>>sWgQF2O zHWZh6{orwMb=gOc)rfWojR;Cft>Zh58--oQgjNV2yblg+#`F3nMC^*u7?WEtOJIbf z2cHyXOpk1j{$z?!QmC;69x1Aj{WamR>KjA>%w$`AJ~aprBt5eKl{X2-+s)p)%pR-p z<|qYe(fV!A%*zwLp{Evv!{r!t=l3fbWEIe5!XQd?xt;-Y1*TPmh0)Oh!Q4=w5$qM> z*8x|3)jx+u(5041=5A3fbtu^T0X4X~?1xhA&Lm>8eLLa&^X4`|81t*iTfZonv5or4 zL7Oj><(uE1RDwXUORzC_faoEY8c~?bf@vJ4pILti=E752F1Od^y!CTO)TinE5x++b z@kXNT1O1uLBpfH>mK4(k}3|E1x%V8p@>HJ`IPm;p0{#Drg`c_0t zRKJmpr2?2DZ91Nm&{QhwOLdY4(;}(nA{9F z-;ps7PkjSf4GE2Qmk9OW2$pa~{mP)bzW%F-6zZgIUrKmS=1NH z()wusED+a+XK5Q@VtqsoCXbjjGXQRuW0O`xbCR$ZHt3{Yh+AQcHbeyL;G&>An0Xnv zukVGamy7;^aN02vr;G+8kn>eJm5H%Qx93k{UJ=;G~yFw^BxmuC$Nsh4V_R1 z&cj5K`uOhG6L9|4>ti%C&KnIMgwdBZ9ei2pdEvl#2MR7SjU7o zZhIG}!b}F%rnW+;tgTq-X&pzgF7Kr+zusmlFKZb{Oom_%t3&L~2k$Zgo+h^Q1UQ_U z+lZ7G-vh@_-lG-ZMS8a46Et!8XeVO?PZL3n0Ec}oc#I0T=)XE8h^xZUgt#KGgPtxX~nlQ3b8f^v|p>Ke>PX=*&hvy7#-=$PF9vQ__bKC z%^*>^*Rn-m7VB8AMWb{O<4_=V)d#|(j#SuPkj_oDJvK2!E(@iTfqYljl#jq8*i3d7 z$$^NI>_Bud0z?H%>0W+o{9H(XZL#{BiqSVB@1)o|Gh(YgwZP-W_#3C$J`5+cQy$D( zxXXrF3uo-k2EFzBdUj8l%S#?lC)}CiD{p$jZmG^anNDO9inzs@jLAl!IUA7E15aRq z>0fgKi}|&C&0$rEZK`oWEdA)M*Wn3&XzOyt6&-no=5yzWJa6j~MUv(7hCy8Y!eLyf zKrRzvwl14RaTlPii+vdp3Cdqa?gB=72KzF2-g-O$BMVkKvlheVtHs~vIsU_KvcQ!1B!MAdp@M7?*#sz&L&%E@|KI;F0pA-$B+Y) zG_dWtX#H}jrXO6@$^*dIg6OgVKr5&~JWJwl+OAt5qGlJzZ`0_g+#;emtmyA33uIly z*fO6WgiRzR$+AB;N;oWX6UC}MWw~JV@Rd&R6oBzB?oOzwsx*!WV=LwLm0^YXCUFNE zgf7)X_Wx)q4cY&r@no=Rc0E{lcqdgXlTh0gRlM+r;{H+cVmTq9sp7!j^31 z&pBTP!bqD!7AM7L1g>}DA(F?3Kj8?eHfL0L?uUoKWo%0i<2nT-W6$DtC+Keb%eo5U z!7{T(-V8eRbJDHu)7}TP!gJB`NE;{nAMGO~9}7qQ4G-ofD^{SbcfkI{OvBx= z3|fKGsi>-tU<=FBtFax|BBY%9eXvj(1#UVo>iZXm>FSz+2-@ruHp?+^G=S5znZb29QlQYTGOCukdX#e-3gL}l^N4}QXBG(gD-?yM9G zJZ*yzD)2kX3%++}$Ak(hC7X;QxidjcM6@Qn!`K1o@sb;ypy&g=7Usl}5P&yQ!+2oV z!lZxiq8qK22-+CkNtYrhQQWYP){%&6h6T8F0-%w@M_ccOcpR_hqrFk`IE%+|h{{Jp znZWv1r+jKKwMfpm_bMR(`iH1jv?bkv`AQs$`|7q4?NY;Kts}B^uN(;Jzh?okK>2ci-a|RB)uK)MRNV#W@2^L#r zg?*kBZNb9B;0_xhXWT954&pNk5y)`rvbre%bA~dZcZvLh$b}NVByq8RI(WgR=Xt?~ zWZj;;=OPAGf_j(`mmzMaTM3&#m2trx7; zp93%0#FSI=g871q4Wkgq%j-MirAp&L?_rTDkQhPsg8dBEt)F@6H)AdHf{77TBH>|r zvN_Wz845Xx1lBFT>;)T%UNFw-zo&YKH;=hA-6R)g&YVH3(8)w2hj`mAZMY> zg_#%3k~*gs%y=b7kUpM2E_=ZSfER24Z!TWFW5(d3A|vlvTpE#&9F(?Z&WW>E_QA&nDJ_vU#SZdzmO43QUunb7i=xp z08LK{s0hFrK=z1f7={zB9BInrVv5-qy7p*^eguk4UR>XtB77cLfDLb{+qp|<6RPg8Dr0W- zo5`UB*z74NbwSK@!Gx3<=ksjRqx3mkHZxxTCbxjnpHVZCTm$`6dv665jA86mw>V#-1rY9htE(&V9qZM zym@IjC@7PTiuFaOT|xEqjD{k!U345TYJ!BbI6<0BPSx^?5`bw}HxX@aUcl`QGz@<` z{jwmAOq=}TtI2@*tRGuvS+uPO9; z6BSEuwrkG9T(twbfArvPK{TP0DdWp&^2X!0W%A@UdJk?`wQ1*;4F5eA3zoZzd=SfO^thMyEBopoKnzh2d8m;_T zXO_34Ldd`B_4^nIG7b2j%?V;i6RcdWg{ZNsAdt=&3fIlJ2Cd$*No><`lP&5gxWb!7 zZ<*C*Qw$KOsI%VM3kl0|;Q{ywm+?-lV{!ol>0B9V!j5a&LLglmP3XqN;&&x)T&*c( zQl)}cckhkFc&Xr$4zqZ?vtW6ui^W@XyE~A2wj-P(F{A~oxE$h<#GNtE(9J6%0=m$W zK{Z>tcENQQ5$1Y5ZX@Wk2EX>S2OyB{L2Vls%&mmn36nQ@qDV9#y14!F?8DvNoZF-a zIw*wmeg_E&s?(`NaYZs1=#L9-s9`qJ^@Jpi>u1BuBqaYh0mK*mo1qdS}PCNPs2{h1X>@Z z(G-0TQqH<_H2r3${RszQ{K?7Y+NLRT1h{CnD<P zTxn19ffDYRm{Zoz=yzrw7&{#UUG!13;sAB@Qp!lTG*uS;?pvWYh|u?pgE7^$(ldFn*-Zi z6XmsmKnM&8VO)W0G65#sYR(k=jyV0mWki)I?>mC~&)bI*T@+R9bJ$Pl7M450X^U!4 zyAJ+)CgQN;HhW=jOmfC8ZVS%1;h`cVZmMke0hoKu)Ht2sxQfi3wZec-V>^hY4Xn z^aN!zAwf1qQ3&KU83td$D23T`8^p?QZ=(^y6^U0pu4u{0p>{i(xH6f5+8H%rbVgi* zP^U+I7x$b&K1Iog(rvqN!*5n0^VBk5_{Luald8;PNJuWgIWzHX;W9pn)L@d(*rMR5 z9x2-7x1C3Y+T%jVUmH)VN&f=H6P$u8bS#5O|KPYJld|Z-r6HRuP(4@Kgt-DXXyWFr z`-it&{v8CwtkZn#BSk;6fEWj#f{P7wlqPJTGi4Enk}}XST@;3%Ltd(1#|vG54aNH| ziiM3oNeZ2mQ(oLB#%&F$v;`Mn{)9%d-UN)l3Dn>+qFR^t)xZ~k`$*xd9o+>nGP|^2 zP5dS2sSmzXFDVTkPm2~XDP@;c{~onWF8$AvjIU{F@9q&>s&>Z{D}ru&!|!16S7g}= zcrH^TpHrTz%svpJA|0Xj-059{NpMz|r87yh=}gqF#(3A){NV})99!RobZg{8@~ek& zbO-Wmxb!FTn$UuFX2qtepv|P+>Vr-szv@=QF3Ot3M0D9|1G*?EARxN4I(k*KRrPIkbd zW#B}DygP~;6(ujzA-#y*W1(vJWnt1M8p4*@X@v@6fB5JEt0i zP>1LT1mQBiK!i120zxi)x6%yM`1(en>2=ZvtS~t9q6mS@_+maiP&vJF zh}EPiLiyydGt#tfasu@fwk>_hJr)t1NRx72+?L*CbJ!JWf~pyIGPsN{5ou0JVLBjm za|~&@rUK56UY|~7%PSLs$?1v;hm|~0$DYc8yqVH`M=g}(#q-L-({U15@jj+ zE{*^ur>#g$77DEY4#PSo6i^|fsPEw|hqV`8Z*MZWw{&)mNf#L+YM$>%btW*ltTIh@ zt)x4sIT#cBFB`uvBVm#Y@P~ND5W)oW0h2*sHC$teiezzZHyueF5_!h$J!WU4`qMIHQR0#25-Mr({Eoa zZ6oc~m|zjj!&<;(RZ-ydC1OI&H&1{evF4Vttjnlc!+OAjaq57}_&Pp>Z*PddNlJ^# zZCN7f_pO5D;Wt59jn|GlxGDplNT-9N5fR zF;^>-U)+2k>B)`p7hP%>q7b!QmJO<$qNrm{@m6!fV8x4*u=s6vccH#l*y6A6>CM*G z-x1cFLRGyz7>iFGT=KdQrBkTf@tCURq3mEyF@heznu4@Ee@^miEPfXJ8cUz$=B63r z)AC9*5_Kr*GCIncwluOi!K_~r_KPQM6qZilg?-h)Y%Z{O=B!vGjhUCSF3sVrYk(}1 z`J-uEDr*;kD8{p$d^t5?B}yjd=o_uH zv1WMZT=JImSsOv4*vQ+}azo@su$lyQn>+eISce#ME}F4`6iYMB0&$TuEL?{u-B#uc z!a-C`DT@OaAEP3*fMu4*AAradgU$YtBXeoWlJ26bgr~GmxSDBVDDS8`B{g} zJScSgv3T}d$Qx>n20g{cb4hhS4lZ;10a3V&U@d|FX*vT$(z(6ViPv9pUxQF}i8flI ze%})~!XYzY${tlz&EuL@c$?^P6A4(`f@E#$zx+{Q#lJ(Mf91cj*#j_w>4e9@WhZT+ z_=?B8feOenXA5Z@%Ge5QGI=MTQCOScCNEI>7heSV5!-7flQ#;RWTihHvM7^@9jLLD z{JYKBgxH1vunFfHH69ew#~h+ReI)A+pE?w^a8qa?kWd^<*ry%_Qg9i^%M4|1dt`D^HMbq`iGh(H8tvQY1+3tY44M}r`gwdujsq|7{a!e;=@}8g&>HCuy zcTUf}^ngDSj-qbGPKe66vYN~nIQH4BF+pZhaS(tQ#86mRe(ZUrrn?aLS6B-@595jb4k zO*zYN(V?)$ISno&1Ty3kgoPt++aBJshhK=?wK*Ce;|L7yT^TZ|@exhKvO#l52$@Gu zkCX+(SWBFNvfD2k5Vh8AYP%$pa1NfiJ7ibqXLQFfqsc_&k(%k$8mPuHz=N2b zDEMGD4$C!J#f&d`3j*P@!3P4cw;Qqvh>^5vp}=Nm@@5iI*DMDhgbz=ZhB)jE4+>iz zF$vL%E&W`<=+^r~7VR$0eLdk#)WAU8X2QDgWQAj;cYx(6E4g8Id+=Z^k5=BCsb@*O zBhj>KP{R(#8evLvlCT@Vhpu$c0^7rKz+ekG2G7>JJ@J=;dnpdPaNl6FC0KaT@q`DH z{Fux(i=t>_BQWK{fz)N?f(@FfSl5lr4HvXR1bd>lbrX(GsO4u0T6h&asao;ozdiwr=*ZoP5Lud)8HQr8xve~u|>d>hzI3Xc0ZGGRo4Fa zZX|T1aEK$3`6YeE9I{2Z?$i&S1eb9-l=w{S{j}$^4S2?+T?pI08c`~2|4N(Fc0$8m zNjI%^M$!tsZtxCFG|nJ;*l~~|i}%Hd>}w(4<(Go_)R!8CIydvmL}sirupxwT%9(6N zb>N-Re1e%0k7Pye2fyJm&cZtO3Gf`QAV?HYM5nbCX10awnFX*dWl%v&yREO{F-ND7 zOVl*JHr(waqz61}dVH&PUZ1pfTig-C-7eNJ9@zDkH&ZwFv^6ZEEikUpmrGoqH z$~q$`iQw4BLn~nIw*Gwxcl$sy-5x0cDJ31#7>+8_?KGgq2IVIlHR#&lXvEWFhPND- z6H?BW&a!mNTrgx8QTdi*6n8z82$~iKEyyOMp9(5=P#ly@eiRa6?xVrFH94k{BvvIz ztV-?FQ}`5Hr=?Pw_=~+jkxgc^^I63+mSBd2{69-~2{m7b!=9Qiy+KpWGN;IyYQ=!4 zsBhSkxI~A`I7eYoGqZ?t{?PRiNH!JKex5@+#oXQ!Ycv{ybbzo>i*LYb?9e62v+2mt z?MBGer|9M)us7_{mSchFOL_>j%%L>1SY zNUH8XRGyg~?lYuGeHdA%!3SZBG2FNghNvyD5ACOvIXby;YuuY?n!VX!LNUUExnYuw zdDN6U7XjUVKn*VAd?-1=1i{QMDGagk!ykpF4>_;@gu`{r4rOvG9U0%9tx1aV>7nTv zSV5Db?Y)g5UR405M1(q5r73$yOR%cE1&&W++wV0GXhK2{Uy2E8$#LtsI4GId~bI_p@##6dEnItXF@96y;vcRG6=^PVLnw{C~iAnl|Qb zpfDYjGOpu2Xv!xIM}{AZa#ARuE}TC&XQ!(pHJY);TC$G$I~|D&*UJ}>U8UdhIN(U}W#7BHE?PJc10CeYBf^(rJQ zw>A1m;WDnqI@Z=cG>cNNywWrt4n>Z{?}8vrV}C0h{Q}DX$UanGyA6{4J8CVWm_~1! z$E`W)1bw?~VfPJE<{KR5LQh7DLZ9^;7^i;YWOgn$LT;C|)p^eC2Cj3Fj0JsC@0bWd z=rbm27e#wYYrPro$at!=ElTHAc|3d^GZQ0jBFw%ZOa|aR!(9Ea&w6!eicnT7L_$qv zwHd$PQ5s5H9jGzMsas*6wMSHsfWY=<8b+zYuA(wQMzNTblv14b=o(?hV_dXMeWG5T zwQMGn>M=nLgH2my&1pi4Sy5Wx@B9Ih;{F&ho9b$R%sO|&sI2c52zW|YJ^?|f$7_Gc z#{HPq7b1fcEeWSB#;-?i1^8%^=?5ZPq}-odPye4FKIt$=E5yf>u4wL2n?>L?7l;sl zgL!07(?E|TTt=_LFH)74BZ+L1KH1T6u)I%<(|)ou2m6AS$rK>7-+vTc%1O%&wdg!i-&wiJh8k;>Xu-S5~oMRJO6%0tY6ASdt4SgXzt{=A?n

FB2kgkVi}JW`P3jk zc>rD-j66-dghC8QnR~{oW;ZH@#bU%YC!NK8Z4PZxedLifWu@}wn(q^r6LQY8!7hHA z_WKmN<+WHO3bF87Ga#Gd)+0e#?Dm77a2dacQW3j&9SC<*tCg+-Fp1j7c;Jx;i1tx&p7+8>=B79i}osWB_3A_bbm;8VjZnC%sFLdxg+lk67KWPYevbv$sJJ3!igXD_Dh-ogJgzw+UUN3Eu^P$BUxB$K z0$A5gR-e%)7@R~yeA`J#$b2$dUUMQI9BbNC;+ix~Hn&aerWHcILZjvjuYuxy6`bfIw3TG;+UG0&y9mBspSUJXFT=CYo-d;DDKMg`_&Els9<9>1zg6>L^e-rO!%Y){pu&y3T02*!jZ{k zPsTm*rcy|{YSv7Pk)CCu2vb=@x7_p>o=kt)BK*7QiNLp==)cP=uivlZ#djm|{dHmsxmP9_gf9HijF z4c>9Wrc#aUeIs$V+aHf?a+xp^%%6$p>39zV9k`4?A;J7UPEq7=?qg@cbVHZ0`_bED zgKz9;73l;V#kJ!JsFubfz&4ZVKNCgG=!Y31K{9>kov;z%UYnQ*-|YfRBRlqSti@3s z>K*gZVIfvc;G$Nh|I(aKn9bx6+vU^O3MJFEja&4cyr2V5r#cXEyf8Ivdvk&ix&qb` zJod4pV7w|6yz55SNWs`Xr9{uaG4mR~f2!rwH)%ZWFbC(GsInZ@FxIHQ%1xkjW$>0s zQe*4UNkRjc`cPa70nn9Ix0@-oZ7%Clq;#ogvj>7 z0taNJ5Y8SLU~^RI&K^iRLIMnPNbbSM60lzPoAPXpwi8UiSVp5&F&M3uhQrzFCArL0 zbFf&11Qndo%AZkI=cq&V18Q&?egUeW(PZbvO=<|lo zxB3#H>{44u43u^Dc{39buffUCDrIa>nJvYd{e$YzG?Az+G+RPy7UB9| z+a$6+N97);3HK%Y;|WL)c(z;4^8ls?oD()yN@Nt6v$bVE;Kd*(yy|6D$!W+ybMJ*t(!DpB{vj;h|HoE?Bb=LhKf4=ceK+ z7Gh^s9fl~J+0?fWsmZDy)0`q$M^hiD#y)Tu;(=2jtY0t-7PH+EFLx(K*r$tNj%ItE z4qf3%zEnOk?5sxfl|ON{`eo5|!+J|S63(9jGd?ME(X{QboZGH#ih^1=W^}Cc*ZXlp zYQV24iSMKTFtHgYnM=#c1AsADba26o($8DN@X<{^CT4K4N4-m z=G;#Nx#a=00Hsca7H$hscI~*;+#z?YZprwlolXh~WK^QsWFtQ*A$*y~-G_hm@SYu0oU>dHHr9KrIAL3d_y-MWquYX}xO@-`O; zHV;51GPTF!G{olqqezr`yfRsWS{_nVx*KcYFQ@ZR)VBZS7C!buJ8-(z^qzzO&Msf*fwtBZu5cB{oHyZBPgw&|r1XvyQX?s$86qAj z2TlK@{}7Tr!zq8mEpF8}xAm5=EtF~aTZ|4ENk`P&@a=&v z5y(3A2&XDsBC87KXj)OJLqLtG1!;~Nj0>1)rBz&yw!&Q(n*`VEm$?S@ZW)b$#>=u! zLAKJ=zO_*YER7-SlwX-~Q7vF|*J&cm&A70P%i@Xx!f;MO7*3PstJTizo(N8zTpbs1 zX_$e0GV0P$J9nMo*oBve043bh1yMJv1_}jh?SiMkm2jB~z7|uQ|1>VD(VjTgCIKP1 zj2VSZ5hO&h_mf>91eFV&9gCafS2{nPI4LD&2oGkZhB%!jNku(7; z9#LrDqqpj2zMpj1@UYjcOH|Jv!|%Xm#j{9O?&wSz7qG4y8HzCS1FY|) zRCMYzP`I?c$$dlTSncI)Lk^5vl6+pd+7pR{IJ$NXM3AA+E8)|enW^=8<&ZM8q@)a# zz?0z%1wv{jWc+wMB}hK6pqii_=S8`Pb|t#lG}I6@ki2MEf&I~|3&7_!!vP|sYR~BO*hPU)i>v2Mu(IZbe_?h{O_9g>(M3viIJUP+dFrxEQE|iP3DgD4K^x zJLuYyXmiS&M+8jXPK!)G?o+(G9HG~jO$n7&9fn63#3v1#}yS7H^a*w_m ze!|tY4c4(9oq<>;(J!i&4juUSZ$rfY_y6D-5>1^!rxVY1Me6{5g*Jg6L_@ys3IowP0zf_q z+78ibP#{&+6OPEQeV~%N}^PJ>2SJ-j31(# z?Sqd>AkPp6S~BpuBCJCYDcC^i9SP*!%Ro!#AE%c=5NX^1S}uXs-pfGC{`kHC>kuR} zydVFq)9Js(wWW|4H3KXJ|7C>WR{~^Il%fK$3!@|MOd{&>7lpg1-d%Zs&E~ z;5SjC@1xWIxgRTZhIZIx2vpv2|D9NckCSu<{eL@Ph0btM8T=(s#j`G@7f7$J|L^@0 zNDsqb0#(kPKu}l%DD9sOyAPNQq`* z0O8jMP^TN=FY)AmX2Ww>g&=8C)&Ey&dBer?8K`Pbq0$SaX;Oa>y9iLa1Su1x>Y5iX z#44cF7E9=K2Z^-KaOpGfF9HQSbX$kF5G2iD`h!!kLT9+_OZZEm4Npg3#VQ1~z#l+~ zp06`paWnjjKtIg>3O^Bnq`5_Za4%Nq3|G#EzXba6*RkEO3MsY1A3FUZVp3LC1! zK${GGuEbji!U_c4MWx}Iflv}?^HX98)*+}3-P9j?l}f|4pF>HYEro&gScf2~4fKaT zlR%VqkU(3vPiMWLt;CD|&;|+ARq2^O>H8O99a2I;iaaH#G+c*GLX>`f%82|C=pwqQ zKYT5fhU-T{NuXaosb~GEZF?E?garB<_H_bn|7EEj>+o^(L#IFdo&>tF4+GV-f8%im z!tM$@9bQSL;qR-UBuYD;?4`7mGywF6cS)d|fVu?Q`Eicw@NrlPl#WOr+HkXpfqwfr z@D$!c5cOjK^}q_9;g-$tmmc@WMUG)ug`kV^2Y`lBX}IklP!ed@6aTKlIs{!pH}yvf zsWjaF0h9y^eSFzEtV2*|x~V_nqtej55lRBp{!~x@A%ZTIK}RJ}k4G73ce^F`V;zDn zqnrAp(xhnUiQR)J?fJJ=X#(k{{wPv_x9fE)1MU6j*6mn@l&-)ZI{ndis5IR9K9oeM zZd-a2)*kxDm-P9kgq0(@-B30@ipE8euu9iV(CD1)+ zI3`NbSq~j#plc-1F`RgS@%!+#3ABHkVINlE*>>+eScjl4 zbW?w929<^faP$!9zyB&8g>?ur(oOv_`c68-gJT%z;LO1aPm;Q=KlUeq4gK&l5v4<0 zZy*+sQa8G(k4gQ?@DNxRCD4&JjnCmd1YIYC9;DLnFls?W>FEDem0}%&uBV&&7%{0c zJo+#L9h))b1_t_@4Ehh1hQ|sSD7K}K(gcLo>0{d@(7&)r=y45g+PsN%__!PCramT3 zX@hA00GB|R>=dGLE!hmX67 zZtCOHs5CtFGn526(fV4dLlBB&q~xK}@Ql)Z|9bSOLP_c(`Z#q9o#EL<047Q&3)U$E z0sl*&)Nm1%hUfl(l0c_6O`&dtkGqX->KmxxbcW}9Gf-2jK0WXrf^L^VuTyCl@Ew#y zDgB7}0@fj@JKfYb6j5m~^@5T>r$78f=>>PlpdTgBpp^`CX5*sAu?{KGbAjJAr79?ilJLO+r5E6T3GH+orwbT& zL$J>iDEDL8FswqJ?!+GeT1}-fgZ)BjBKWxM?NFeE(=@Y}SLT7jdn}jH} zzF_V+tU^kt$pcS`IaC^6Er60hZ612*eyl^#eRNZw!1e)3uQkA50=0ers&!a}pg#Bm zD8;BWyzw-Y1iEm;o_Sb@AR?*LC$E%1Z?0vai*ze!1R>}Fx~Wh8p9C7gqLrVSd2k^9$O2Zg@JW=W( z`j=xJf*!&j0LoEm82dGp1nSgxCVhMap*#Xmqcmy^Z(qzn7dr2X&-P;nIN5cCAy)SoD((l7-FDS@tWI5%J&f}X@5Kt)*+>5=%)U!fm9ma-v%Xt zx=mTQ9P1GDEdBsWA5dxd5W6#huB&=^C)Obd+XX>us5BIO1|@;6Kii#p27>z2P5ocU zFbt^~$Si?w=#zdEs}S@&{?O@9>Zvr$9110YZk${(1?vzrfNttfN`ure>i`4&-Txjn z4}zq((w`*KI>X2P80a6_N2#wN$Rtx*NTuNu95wW~oBMRaH>Jl7qMQ1Yo2WF*z8p#d z-7=}R3hR)PnQrP&{zau>julD*-RfH~3hNL=Q-e-_svB1540F%IUjp5hd1(VyA?QW? z0U+t~7(RU+{zahf_xv%T<_KzB?$sq})ubW?xIMWvw#djo-b zd|%NC>yXkAx~V_4n@YoiiBJ-#=b7;vuns{M{GrpQ+E8g&#Abwcz3#nV=>_<$5Ok*m zTKplDMCs0n?UY`C?Si1;R2n`HK}n$A>uc9x9X@Ut{s7QCDh*$t$R^NTrx#!^CD6-s zQ=bY@X(*l#C4ug_XW%}pLrT(P^r;33v{Vro_rBM4H3PjuH}y?dOQ2;lp(IN8RmPWN z9a4G~f9Uj0k5g$_uC#ccbj5{OhoIN!roL%{1o{dkA5nVXZkzJ$@Pi_y5-JT}?|_m( z58Cg#0qc;`8+23O^gES?7576)puUwYrePg|-ozg|eVPiLVWkg#5U5{MjnWI=qPzNZ zcPb65+cD6?cNLKkK}sWJkklIt-(cesrAHyXkr_ya)OsWhyc2PJ`?9zS1s+`DvBe>x+9%9XD2%({WCunsAWmq2H3l0cO=LrIjL zJ=OV2tV0ma0Pwgok_a-auY`XQsDH10)W8UmI-CAX0f7xZWh#6A-PKAv+3BYK%(oKA zkN+l01HK!tG{Ji^Xukxis$-ymCwsksb@(_G5WrKW1C@qAZzze;GhOen;#3}?yUtfRrLafjkepMKMrSZe3unIxb@rO=- z7W+1Uc3cgAiPCF5o>h9m47#a5`zn=&ov$#^>*FrzhjmB^Wh7Gij7r0Azd%Wp-thi5 z5bF>`uL+FLo^*xjX8ga*K8?X*RlE~2u*J6dv zPJwn==8!9R2stAxC9#IIne{_5L8Gv^@7yb4ZBeU5omN`jnWHd z%b=B18usFdCeYaKlXqhsQkp|I^};SH4Rt%AB+$4q|H9t{noBqJxfWC!BG~c-dfRic z9_x_OJi4jR5tBMY{d5L;=lITUcn?9J;t!oZHkw3gKj3lnU+D}7`@z2mWN&C32EPe} z!x}*1%+G!!()Qi-*I>Qk~U`!e{p86O*ZjlUnN1}8Tza>$cT-t94 z)**<-7Cde_R_F{dY*YeGY0$67Dg=FwKXj6G0#N*3_!ohu-nx^734*X)5Y(MYLxa*) zoFnJb*Fex0GKik9GbEHwH_bgrdAnj6Bu|P-9QO3M_v6_rweT6@C9WIb~Go-O`iPA@J?d*iN5QJYH zLEEV`oVfr>0)70=+#9eCK_zsv!vz^C4VhU`66lj-&nmrOg#>DGlLX2hV4&GIUqfF3 zDM^E}LyJKYNKnM#oHvh910!gaOsPNu<(5N9kDI&Nt2}PC3_@86FVGGD5@_DhG1S0F z=^L!ob!f3)Dz8&M@Mkym+>Lbza!EH^O5Lu*^@rf!L}~sTxjPxiEraft${Q5Mi&p)7 z8v~Wfpb=7eBfc$BT5x2J@^)o1Xt7lOJ;^|e{_!+@1?0&igSJTJO~V*y@f)3~SrFuv zL8qkh<{Ae2d}VY$)*)yO{?K)3b-h&H`Y4pd(|-<^F2_0qt;HVzdQK{Dn*$|*ivK>E z8X7@zOSh6H#SXV0XP_mo-&TeHA%eccA3#ZNiaQ3vzlhS(6{*vB3qkAf2Y~iUWshH= zB+#-$KjMEtpmOPE>kFmwpS_?Y(3dyPR+^wf2Hhok2Z-B1!})vKea`yj|K-E4EMR6=w>2l}P{X#qd+0#f}j^b?Y^h<}1Bt^uo_H(b!^ zHv0byZHHWdZFT`tx)7b$127JPq46XAPc(Y5IW)1X(AK@scDRN%y0bZSlA{f1Ehp&L z=Fp8YT1R~6q0zz3p-*t=PCO^*>E_UX<)gKnpv#*>pXAVaoS^TUL!Xk-QhVp2(Fx9> zaX!ZOmfjNh)^dX0a1PycJ~X<;IduAbX!MVBXc}z_CwY9MqntyZ;b`Zf(PPe`X(CW) z=e;Gm&N+0Jqn(FFA3BGoVXO4Pyf2T=bPg@Zw9ol<(5ud&a~vmmZ;9@84lQ!D^Y}(T zyB6IR!Q7YEjvaKkb7-7rRWxv-MWg4PLz5g(+9Z!}bis4zmie@`eGq-|9J-Z^KBqiE zr#y#7LH0l833}%_bQ=zx$2Yp^IW#q)(yQ{&=&$F{G%sk;+7?8|J%>h_|3CRg4?c&! zNJgJ?6r(GjL$~K>=kbj`eGaWZ9~zze9NNI4^ZFoq`8hPrAxfL%q0!yXp7)0EfPWL+A00Apsn^^ZC#i8^ED2mC@&%cQ8nRLtnN1XYug)x7C7{c=i>yU7dZ6a z&xgh^1`hoXj+4AL!FUD^eG`XZXr>OOgVW9$TnzEeh@Q=VWD1&2lh)&Hc8(G(n-mW}^w zPQ$PY4vh;rl{U1AwgoZ1f9RkqWuY*A^9Qw8M(Z=W(4*mN1-V(!LIP@F& zXe}og55u9~8uJ(`!=XoVoaB8=jG5ukqc~3T&=@?! zp+|GH^S&iU(QxQ7=R;#S4Tm1fq4PMwxEc;U?tFY>fDMO!`+T%9(uPC7!=dxu5<_k{ z^t&7eGsE@IP`>kPP8%>!*V#ZJs+*5jqy1g`aO=5 zyf(o=9S%K_L+8CEM(l9tN%?3kCm6cJp(k_bJWeo%heJ;}9~y&sIJAR9=kbkEJsf(f zj6SCv#PA*t?K~fCjPv2p(>U6BZ;1gv9Qu8Zb{-lde>n699PPZf#1J43{b4>@`*koD zh(i}}oaE8Qpdb!CokQpGjnP3IddB(C7$(G_XL9H~PB31GL(h`Y%D2?MB?b<0=#Mzs zd3<985r_Wxd}s_M;?SRPoB(YtCm2)2p$j?Md2flqMI3te`Op|;#G&VK=)AYYa3c;q zHy^F#8{>{R^gJ0YwRawE3_#-0pK`R}Ew!{U5{W~9mXFrTK@3Ua(DU;-(bC4)Bo1A~ z(az%=gOoV*0*-dx*THBd4!w}0o%fa)w#1b(DJ2~h$>3nK%-qmL_pxVpBb z|0*wcmLE^6y>@uZdEW}=qHi_nLMnFM=g&sx{xno{ViwW3TRmhLiK0OUuC5mnQI?2; zaXLR9)Q$mN$>5Orv)70SyE0@hEn@t3jyg1s=1N{m9 z$F(9Rx1-!)zpdXVR3C7r{Z-MRc!2Wl#Ik+s%J(>IRD|K` z+K~ur5_v!}a6Cr#e#ijd2nQ>k(Db4=ir$iYQ7G)(k2%=37c3LAW8XD&3PAJk3e!|tYGn7g~ z5tk0qF?t`QTs+I4hV;xUpLRGxm=LMHR}-AuGvlOu{?bb+tLc@y<(}DElb*TjrAUuY zHUl~RiQ?+Fw+NoM`J6DDpO5EU^|PmEBF-qDXyNjXRC1wUdgf<<7+hU1nfK=o#l|XI^&Psr%=}%ci8oEqR#bI zNSh{NdKk!MGjBtquy6yH!nJnB?Q#Zhiz$4Wk~#A};25onaCN=nTr}eeDL2f#7a%vx z!WXpt_b6 zWM<38MJ2r+W@utPkUZ181cnS)J}JH4G=pF+=xDNMXLRtu6f7m#KDp_rDHQe;7?Li? z*Ezvz6IBt6*{|3{-i_lFS`;LEP|o72gDkEWXhm@G+blI(NIjzr6M`{`dR;y>cyIXx zj*O6cRE(AtX5mDOe`U>T&ep^uG51TGH%3x4k&7-REi)c!#lF(@M3@uR&;UvK0FJvL zW9wbou2k?z(3KqH+`Q66DO<&iq8(tXG9mgmBr0d$M4J*^UCBG%jgpt*si1JuuXHfN z;$?zRyv$Zvyd)bR8CmuP9WNmFuIUk9GI3E0U1_&mV$bguM{@Ri4wpAJy5wcEQ>a_l zb^+#6>pvNCspSqWJQPcIRC9FR3GA@WeWUV@niNz4e~hw*9sLH54^6nVVrJsuF(kPn zJyTB86^i6?07>*hz}1!f@qE95{FI_J0#{$KMks3$62+|N0=IXBl7G5%!QNVvX>92Q zZhsQfa5+OMB@bU65Jv|TTwVV`{IbDFf;{Mw2IJz*Lh;XnIbBh_Rdm%Y+ZeHGbL>MN z=Xl1aB2TQYZ}>ul^oIL-4lgK5aO zbFCqDi6ToM{|OZq}MNLcgwrOF+1J=A6wS~9z}KisSzn!A8obP`pCvttrit6z6I1; zZPi-TW#zG~qLr4XT9!p%+0=%B8X&geVH+N8!^53q7Fv?fon#kzBt9U3vRNSPF8nK? zJ7zXkHmf__ng2O==FZ$n@cTyi_S4_oIrrT2zUOcnqbc!qSjsG4nD+S5Vi&^P7)opt z$prCGipowCd?m~#z@CotCYEfwXdc;l5hsXG*3D)fl{;g3R9ME%)_7ZAw6h1xGBvUm z-4A1S9?56Ks*%NrWw4K{zcpD9mfS7KXt3v#y2a>RE6dW`tuMFO5^%FOQ&Ltx(nD$< z*Oww)%Pou~QjQhddDx=xu;Fs5jT zVap{#1&<{L@RZ(3K^>k8P9A_!x~{F{+SWoo`gkChyzW0Fg}v-SPZ$&R;+kO1Uq(2L z+QUCdm4G(MI0n&LWNTkkWe7@B;JGNq0CLc~DL=pOCr`&-{&%$|kRj;im zYKJSQL{5`bdi5~uK@EfFGMVm_6paam#PEBFJ(PYBEP%<~yZbKyCQQd~f}Mrdk}W-? zp*2r}T@9_W8tJWX2_{lpzv0Z#Zb<@;0b?`_|D1(QjF~jJ$0QWe-W&^?)y?5=!$YS@ z<#2~IP!19t3!54`)|*dQ1J97NnJILy0}#Xu%uFhx$qbEk-jMFjJ`m1wBS6QYl!7nBS{j!9&OaDPEIwz@pFYg=S z7$}jAC~pD*(7&hFIAd{I@M0PnjC=6R8!QgZMzb(UDpmyP@db#Z-)<(#Zygjl@p#^# z$YFOfXxnfP!NMN{6nIJzmKrkDYyr^$I$pv_coR$32Ii4<)$0x)xqZ+}y*r{ymQTzJ z6LdS6FkyU+wv{DJaA2^YP)&4n@yq1ooBl-Wi8mtgTqSjjyJh*qr8my-C*sE!3E>Ei z-tY&>2)pw)+7nEwpb^whs)#WVvExggTXBHA^ml)}V^~xi{oB8|3s;^s8?;sD3t~k4 zdO+vItS;HU2pXiga(GG+ybmbI*NEAOwR$GZF`GG->&O4imU=YED^iEOUY}*7AtF0| zNbx?11EKHx3`*;@?k`E5o0r%%e={5Bdqdt~mc%|60GS>2NPj@Ek%6&bF2hBm$i?FV zjn}>_&h=mV1k4a;V;L6yN{vm=pPr->aGJDObiOUAc{}P+ip{A&*e;cNt?i#(PQJLD zU#UJlB1C$(kU$FE1}!33t}r)5rxe+s&*z^rSSuR4^d;<)8fxtLyeK7K><*G)yrj-) zS?f4+Lv%_}3^~pk&O4{Af_E%>gH*l6uW|SKNJQ+d8s_n*Q1wfyXz?vdG`8z_jQcEd zAdJ>B43teRUy>~?JZf!+sa5j@H|Hj!x5PFH|LljTXQ}>ww)+(E7BbDP$22)mb=)xV zDvl)zAu-h+7yS(%`I4|&XclZoo^X>!10p=7=vvrk7laKA2$c;xrTi2Ov^mAwDHU0? zQx>Mi`Vyf(V$81ohlzJ}vCwkIJ$YXyR{52r*ptgaVh;EXV8c_2u?*u2I7=fEqlDhS z@@i81V^2t`y)Gh*uD!;m+g+b~cXf!l?6l_J>o6k>;%5>6qA^hc?g9M6ojwrs;oN&jfJF~Z|AH)e z&@mCWw<}`~aQ7u_eTrdYM&oBpJmhueGrI9N7qtaoqzlMn)d(YB*t3;%^)~YZ+-F4| zkzi_HzKM8!IKW*{Rolcsz0C-MoY{xlG0cR+LB^M?Tmh4x|4RJ&+}f3@9P3@R+@A`8 z`eFmz!FGx3cBBglJrdC1DfPggj{SqxD#jqBucvG$+EhpUbAs}u*%RBF&sbf-@!Of2 ze%65pC+D2OV%8j_xnL@3tMt2dZP$Wm#8yYErOEz8zNvkIrpypBLsMRl9ic?~5lIYB z=@<&_P}5*P(#fCWOZI(40-bw&9<_6~B2O3MOh9V|CJk&P zp87Cv>Zh7wa%T0z6WllzK#IvW=nw#9Y)mWOOi_Wx96Y5H@I{o3!GOb&IT2&nTj{nA z;@jE5QQ@09I(h;}<^(u7f#-x-Lq57{CMSR|Kttp739#O7g^@YKVEV-R+l9H7>EED? z_UpxUL**Eb%<*~)XBwGP`W!{=6ETZ~jnQl?&xTWRHm_Bx{>Or^o{Y_7XkM}1dfu^2 z5NBw%(%tIW2SYLvJ{XemNleS1+Fx*_m83|{9+H88?7JsgE3{-h&LS~~WXysg8MFD_ z>b+9Vr6ctd2py796lT~)1p26Wy5K2&!Ca={aL{F9R1`e3y96?#F;Iwxyz=P#HxwWQ z$vm?P92O=?D|M!FyV~l&xMWk?v z*Pm$W{azN`2R8g>={Rqqpq#oOr%V?hgyPQUt(}_<$V^85)}jmVheW8+GW(yWNT|Wv zsy`9aI_Is)6M8VrvDTpP;+)bz0l}E~CB!tDn4OkM_#0#5A`BXmqu@uiK-RJ5OA@Pb zNc%l6WVEPOSg|~m_fAU>Z7jwkJD9(BF1`pADm@pC9AJy-V`_It@QU4z7JRHqC%}i zedYcy$!h0-@^ob*o#F%Z4h3zKHVaE;KEmYwm~aB)F{=93&M76K^>g@O+c&K+p*%NP zSaNQ_r=zA8ixJDPLs~E+X3Cj>MI7NcvE(;P4-oe|iFm_x(dcORTe{bt^48gLG@BKI z?NubNQ_E0)^T^P{dA(ry$wL8<*)`J~fOL!1urc*x(ZqJLWTL~aNpJa;9Lsi{Qs;(( zc^uoC73~?@ykkF|!aBAVCb|oAsa>;m-It_w1MvpNwXRpCV)K%g3I5>aTC#&A4qPpL!-u)z9^Ga`#RWg2yB&1TY4EO^j+2>0TnA6 zW6m~y|4UN;eO_kQ6q&c?N!hH8B9@sPubv68h#8HEB{%$%_=i0072NL#6NWtOOM;dh z@2NPJ(f^}gmQ_1Holz*vs7yib(w?}8L}e1?4+w3B?ij1h#NVhyfzTaVcXD(azN+W1 zFOyS_d4LOl0MX=Gz02~LMk}f!C(JP{#cU2g0)iAYxYwLSAJ+|0_&JC|82GFo(ge@E z;{h1($>cc>|I0=#{|%=gGG3TP5t*{3r$NA(Nic_Uz9h^Oy?7jr_icj;Hj6s}T% zn7AY5%)UC%f00$cA~uQGWQi6hLT( zvAcHjRI=`0{(`Qp-zVp2Yz$BIH@Qj6IQb15`3g=IH@ zucqOcd$9MfA%)uO{BE`O+L$=Ku;!Y4>P|%cc24rpL{wZXwp$7ky$WVG%tuUo5etYyG6DzuO)P0Y zN=Od!afPN6x*~w=FzF&My*r_&luuP%n~QdXKb5rX2HZln+7Lx{92Rf_FzOEzgM%~4 z^o4qJ`ddmaIrX(vQsx77;ItEYJv3%j@6Z@0$d1o+M-`xKyp>T^l(=4{Mz55>RON* zO9FXId#3@CiPTC95;>5HRG2**Ga?;}#%(55<9HcZb(J|*8=@bV+M!TPurT?zkRmc3 z0nq{Dzq8aa*!EK>i5u!CV%sfQ#osoB79iPfTsSH?f-}r8U1TlM`s#sjzzsKU>$Ol4 zcRlyXO~+?Ogv`2<37!DDaY|Nj7-KBI_J+AizgAXwkO5(OpCAHi6@LJ6%vmPUmvctat zKx2u9DhC=>`vbmBepzz^@4*m~nWlr%M)7zy-(v6eTB-yzj6^rNY-EDP0PGT9P;m&>=CR2ee%y~N86ki^_+7KwVBqB z6BeD5+D}NpKd$y;HKI4K+Ls6w`b44x+f(KvQGYg9i&P&lfUY)DyopNTuNUng+9HP( z))X&`M7iw&YA9=&uKNq(nevx$bPfLIqAxH)8m4e8-4-Xlws-3CP@PM zpp_^=MTenscuH3|@PNcn79kqvuDWU-saX=pCpv2uM}?489@0{C-z-mHM$KDtTbKiS&D#R6De4*mIApaVH7n?~4HOLknd#AgN4pm4;vSw! z9)Hr)T=TZ5d!Be8<#$^1@tBo2ZvaHb$hC-QGBPuRR^e}qk&BRARg6;ducwfpKE>B~ z`uCD#OXYT{;1?h>m7`U19!@IbG($#;)rd)X82)7_O_*z4G}34r;b7PA=SfjJhB;<_ zF6Myj%h+vAe?XYWY?Q%{eBc@K^T#}m6>o&&o}b@O$AueXOhsjtD!KOr^gL#0gsfdr z&KnJDDK=+eLZzIUAuk^c8JfYI3Yk>lkA%g;ZLj%4;OsTTv-Zf*F|b@l)4!i-xhjUc z#+U4`K1OO};+ASYRb$B&sZ7v0#WWV%ZW+QzQ)b)z!(67tzmT<#8=lzOKa#pX@dQm> z9TCI5%Qpv71@Oc~U9(dd=W^Th&;HAVDw>>;N!xbUmt^N%JZfE)>52JuzwMCYHnCtL zNGtfK&&kc+^c&P;BX00sIUsf4bvh&tXM@^bd2|6;Jv|Adi)|UXu=U1-FJ1YkTvWLk zO?MwxVZ0XJ!}xd|zQ*KZCNxPx49z{MPu8AA0lo5}KL9CCSrMyHH}@J#yh zRw2_AfMjav-7`Tdy7nGgT8lzpWG@Nvx_Zo(F%7CdM?L++U?GHQqj1!|B7s}WNFFxn zfO?S`o&x^HNRAQF92QN(==H6~NEh64&|6!N#&s<;uH`7nCsElLrF<}YU6G~pY#u_* z!iZ9DeF4&(>OV*XW>p##jg+^;==FAosGimPJSr<7imnH(#L0~S$?S}V{*V}dGKBxp z-J8gXJ-k75e8cYMVlOPz%SJ{!gRz`QaUGH)r(kW(_>x5pQ%TjPV1cBo z#G)bu7uWlOIA5717LN=SqLH|Tij-y{;fS?bOL#(LC>Nz$*yD%zYOkN)!b2wxL?j6u zC5Xl^UG`x}dVxgGzFIX_ls&UvoKN|Bbx!danMba42 z<7@6Ti-B;hOppA_TB}70sGd;JK!ocLmu)6Bm+=CsD#)BKxBpVh2jwlfe z;VIn$e{x(5-q@6jkc$uggap$+$;Z<_R-NY92MYT-bducblKvtBUv2o^^G*M8-YSg4P&f5MT>g30R z^~fUw^|4o~nS|3HgIZrp@*rW^iD)r7;c99$M&9vq}@MSa#@@_9^cUE`gZDTrT8 zk7T3WM}|HXa?fpfygL@oXvaomR;~Cl^?5APa{8Eu=t-ChuF8T{#r+|?oS6$P*2}Hk z7&JHuZa6KzSXL z%na64$Y4!%X0V>j#Bz|qdOhT!87xTJoH>IPM^Jnd)sSFU!wF>>tnZMj3m_pMt2$qd zW)^?Po#ZoEIjHdItr4Ishi0%u&5ASf8LVv-zK@QK>nwxS3Xv%1xbbu)xaQg%FdzKx zkR9e1f!<7Bz1JTTtGvt%)>D|ldWx5@-?YNKae1;(*;@!28LXW1l(G!g_Wr<76=Gzt z9(@ul_A$wL%{nF8`NU&hK7-Zy?W_tJtRpF%X0Wn?BZFnj!yOc#<9;xL1%WDt>Y_P*KyK=uKDntoXW&8faa#6g6-I&n8msZ zcuIHmrvn%VqM4h5GP+hzFaWu!(W+8xZh6KZLgR@mF^P$w&RSgB;#VHaCwyJ`C9Bk^ z<<^3%vE)q~zQ~j}OjNexZ%p1~p&(ijjEey8j|umX-OtYD?D>;NX6jiUHG18|kd z)IkHWT9kQV?<1Tgaezc17vfapv>$zseEJ@*fb<6%PtzZwM|Q*%5Eu-TD#SB;qpE*%#M4O0V4C^ zcAp7R)5E=jKDX0$;%-7Dvm)F`0+AHo8fH?WpwalV!2|-(q}wL z_m~7g`DvC-$1poTZ(>P#JNR(z+@4RWI1q^y5AVLyhoz7)NwqjD1gm9cXvdjWs}-pW zH)`V{((vDqPii=#iJnLz6>zw4f+Sesz|jE7l;d86G(|Gwg?;!N6UkW+$)>5kQGbQh z>)fhOrjE*bgshqoq|27&@Q-Z!`D%E}D*yyf>3&26Iv7%d2CT7u-$<`*>jkH-m*2QL zIUb9aPzNn`RX$VDmh664S9*8mQ%RJ|E8s3x)h^+PC$DP9Hsp%`9dP7j>H z9xIiF`EF(ve{3zlGBDwWpo({9z^K{`0jz%qLTdV7T5NJ4y*U(e=9}Q6jAaUFGMJx}9Wdb_Em7HM?4iF(^tmb5MHCuTmb5TC=k# zYv2Nob$j*2OuAfx_X(Lw?nGqgZViHCW<&~l-XVp5dVNx%RuVm@mU%sShX*0Ya!y~3 z?Ld-(aw-|}T7pqY`TnarNXH)>QoXbDX<3VQt*%r^a0b+>grmB}zPJM{<8-h;s+={1 z%=O zfN3|JTH-hCBf%kwlVNy;JZK z>${PInM^<0f0a-&U!*aM{XLhGLYccD6@Da$qx0X-7aTG@CJQex>i}I}CM}L3q$$&x z*ZP0>86?s`>uM09Jq>KvC<=D)$T~ho5VCyHk-9We|%ZTe-V%h3F~Zt zL^ZBV-ugd6?kEK1>2iGRu&+p-@J_0h73Nzkd_63iNr+D=BBLvnrh-UW__OaHAh|0O zdF6{Ah(Ktw9;f{}f1>$|3u7X!#|d&Jj~FatAAY?5I-wpXelISmWaIstNd0i0uWBze zYbd>hyN`?1eI0<{DLru}z&PFvhk6`^kRe}rW$%A;yn)7AL}e24MvK{6!}=k*bqQX$<+#-IoC7~sHD`bA$naCQYlaBTWy9%)PzycxJc5RJocrp}gD{W=xMcQt>k zWyOZf(B6cbSTPQaoiNIFQ)q`g2ImF~P~hI%)=8w$IyDI4&)>%)QS>B< zc!?=U9QWQ7L^TBo^JH@P8xtf&5F{G*41#)^gL5{M^c;u3o*qpbl%$3p_IUI51q<|K zynq5vX%E8TJ8Vx~)QuXW^1n|d760%PU0d+?sL0)Iui8F3;GR+OcPW?a0&6M}3Jrps z*eos9c~TbA>t@7f2D}#kDe$uU;1%$ceo0^E(C3i*mau>ywAR7T&Li<7pYd8tE1V6% zZOifiSJ>Lj|Mka$AOqS46H8px#Cz?sl>GRQv%c%!_M>UQ%JOE5Qu2!-9v{k9xCCcG z%K**f_g4trM}D8e-x%4WkP#~??@>m){}poTeolU$)OD#Sk=PP~2_`unE^cC}o3nWN zoku{Y{Dz3GQ4Bu&)|n(UEY(_=B&wcyLo>v20X7$vEf?}eST@sSy%dofbri3(Lh;h$J*4Fc9<}aL=I{kQ zrBsK_o>J9ZAgT$|_EZI9hqlflEwgx9 z9qxac}NN>Ka`?C{V}<*eqJb(uKB~em?l2xPk9=*4D!Ak54X0=pXE#B+I;?~ zoF&ZzQ%RpCsJ6ipnAdW;|5rhnOVwzN>Dn34hiCl^UJD#*-QQ5u=BrE24(L8ws2z*g z42@pPlYj_MDLErDGBi|b!zBziF!Z`fVKwO<>h>W+Lk$F!C#E~T$cj?1eO)k9z)J0G z*1j!{F+XNUXeRB9&81dfR+s6rt4G{YPnM5#h(7I**_-LG*Kc3TX+zv&QyaP{s`Fe!33e1cEzksudr0dN zlJIo3E>VSCZ_8po$#dEeZc=G{a|6_dCIMXEGS_P`4_&V!i&O}e|9cKt1OIkf1CLW{ z0B(W1J-TH_<4R4Pr5&+?G zorB2EU4$M@an*{naV~_*2p@2Q7wn3x5e?kbPPVi=j`h!Tv1sqAPm&p|hZd!{or^(B z%@k#>Z(Hxj?KX?H;oIN}&VXN%v!70e&wj^q&cn%sBNV8IV^$&q7szNg7|~4HJq&+^ z$8|3LX44Kzi;a@@O*4qMafYwCahfCnGnlr1<2-Msv2lv1&w<)|pV!ZY^Uw@pJbE62 zQnFdgh7wWHh%>GHGZb(AnI}fg-K@EVH|5k9rcQHxhXOjy6D&0!U$Uco0jXG+aHnDw zmC@|siUoPM!_84N&F%|>#9$wOzO_cnTm{Feh^5b9gZu>w@Ro6@xLB_c7rUD7@#XQ} zwU|}rSf?I8oKg4!1O*Pj^JQ9Ut_$%uN@3cBZ5!BsTk;XCz>kHqBrp?jtMz^YWp!g1Z^y0k;9d# z!@-2z<^$?<}aWBXfh&QT6m~`Ve^GN+Sywkx~IG0Ye9+}-ZDr$;7d%{ec(9cyxM&7%w%F58NDoOJIiPXvCsM*eSIIB5c&{O z$^QNlXw%cNgjY!4bUqh}Xp@E2HAy|58k*2_)ruW`4Y*GM9z3q^pAlEYh$F;gmXTz~ zilkrdSYC`yNp>v5B0{rJW5b@-Vln+`2hmeBHWd|1?Vu{p>=!!-2O0_Pq8dl^*3IM& zsgQ_H|Gkv_S%WWFaD?cjXfA6d`H#Sond-R2TC#O|pkgJWQyIU>4|N(%jwJt>T?e|0 z(j2*FP#_cp-P{mS>?V!KiDnAQ^@IMva7)m*X}5ph^Q3c1-Ys>$D91cWxI2c{vJs|h zJsyuLp>cI9Ng{za!3ABj7LJxD@zoFV z#TCD;oSrgog8LGFJLQ5IbTe+}x(xB~CCqjLb)7Su!Ik6N$m;PT5{NkVHbNxn9sl*OVp#_7zufJ|Yo`>pX|cWSZ(~bD5U& z0rwc{H+0?=%r;)A`k1V}wm%@0=o)GBQHx4R)lWRSRCRS!SqNK1Rmi;h8@$1W zC6|jr8dOL!V;BCS3SrE69pakj|9F;>KF0047t^%})1sM^IXqL;q9#BUD8a%F_ zP*ld-Abr3D4;w=5=tQW%p6C>6=?_^2w%3kuArrEVxDoNpjbIY)Cj5=x2n~JMi6s|S z&m>(nf5>}UYhH~jI%s?r^QyVS8_IXpyjqM)O_-+&B$l2jPv!b4B0F~l^5AIsf`|Os zlO#7LK&0GLN_6xWkEM)0$@VR4O~^WGR2ZR$P*Bd|gOY5~xJ38wz_ z%IP()s1Pp4Je82OLh{J@Oc>wXAJyc?9ot?fZ58m}#@hZA4X1a#?#I>^rUHz7uTc{Y ze{qZi3s{d*j7gS#&E=_Fw@~yxqF%cNq#yJ$tAtq8TW#R{^TQH8PT)^^f#t0P~R-nChZ>RnZz=7^rhJe3r&lj5lF7$Jr_ zV5z>#3RK~3yB97RJ)AFyZNsyYzx_^s&{BEFciq?^hr8;_JjqNe6t5P=>`j&#jD%p4 z^|mt+LV%bp*38#jH{RbqGIpzYu%|38HrkK@PLv{*SZE2$c#|I3dC?Ja@Xw$ zYBDvmt$&8UF{TcKbcw}A^dMK4Pr8sqDkeGP;+l+6&O!X{#IuZ(zlF9nTlX-8b8<3B zT09e1Of1><5R~UV#QOxwS=sR6y0ZCY}4+gxQ<8zL;#B5w8Ex+_FX8?`!2s}`tQYJ*Osp{c`OJRmH(h=nx6w@TA0*pyJtN+kl~kKJNriFN;Pw1g6?Ik=FMiw4=7RtC3i9OS_*s z0xV4ffvK2mw~VU-TxO*pyVLVY1r^=iSXeXSQtwS^A(ihr0&~6N5=Jk+vF?P=ic2BC zFby!WFCZut?2ZQc-J@Hkk`r5acks0hZ4HW?PJcB&7)W7UN)~Jn9W?-Ru5h`1jyOmS z5$`oXTnhRZRISMSlXI(<$#SuG@n4{f0C&<7)D2lpw`(1_ES2j)bGZh4e@=C{Xq1un zOx{j^2N*`RT-xO|MTSjeiQb^V*iz6^+x_ ztL{m;AxrhTrqV2x-)jedk&PK;e@>wtdTQWcHEdMjY}!QvjqN_a+SsNDQ4df z8!^S4U{&E@#E%&rcpm%8XMCe2pRoa{r~`AiK!9ab4@v*V5t&Orpc@=<*b_?G_8-dU z#{mT%7dnA`cq|$-Vie_1LYC^OL|#uX_)Sr;vs7PHHF&(C?uuWl;aCRl0VIOl{S*u= zGNR!5=))LL5`u9RuwvG}N7j85bW7`YM&q9Kt-)03Szm)Ht6KiK9D8%X;^nPURI!MH zq(*Ll$AxUy2NeQ9IlQnou|%#eK$&`B0w+fgwcLV{6=*}~_a+>L3JekLxju*x9ndh# z44m=YX0qiuUhCDaHGQ^xCdBn$yq}{ga$G;eqG1jEW-dhR7wE0)NH#ZmbikK?8R%gY z-<7Myx!%_M{g^q5<#gMxMyfJ*2zRc}j){_Sh|5>Pj;-XPN+&B?8bmd{``Z(6Acw4m zbC%1Cb^wFK98$mKiddfx8@2Ir(r}$8BQ;zlDx)Kp7Lv9T0GU2eQI;I$O$)S&nC@eA zeiDCUx(}=GWTTjN<65Y`TgxqbQfjj-=ek#qbkizwS<<*b(QsHelaLsO>9cUiBxTLg zns)Hx93kSm1FWGiL zX=P2`Sy?k0@ZfPl+zkFI3@cJK2Fl30(mL@WGLw7K&}ftpwKGwu=@`AAp)X+Cntp~P7#XgWqi#A)R*!OMq)LCljJu?Kc1wN? z%(2*$yFZ|6&IMUHXFFLv$0_1lMyr~fS@?#Y3eeb7Sg<3}n*f$cdL%n#KWZ4!bsC-E zMqRXsT(a058FFdZ_k%Y`fvb@3JSGXyA!8{?CZSnFcT|g7Ar9mal<@GlJQUht8^K;? zjjV*eXeZ3C*y${e)Fzlj@VVV~St4RG4f^bvFtb|RQ1#D2g?#06ylVcY{)0#{JX!88 z=JN!vOo@_WxqKKYV-k1_1!nv8E^5477|T+iZSp_ok%qdUC)wLjR}^Ex`hWU7A@EDs zm8r~7Rf+JHoGmWKTFd2ZtZ)uZ@QEdA`CV{l>@J57)v0`Js%C#4{2!wc^SNk4pyYwa zHLgD-c&Eji!G?gMyQMv(vpNN(>4|Eg_&i7@ddK^J>+er{Npt7YTs(&3K|CI>?M-9$ zno(iANd<6242KWyH^-?KYVY|!QaG9NrJ4$#s#@mMQMV^WyAQVhWmR01z8=u>XGtvD zal&#y9SLagxV#QjO4~@$C_US_aQ+vhej(rbBE36~)_g?@h8Os)5)NbnCWI$YJjdY$ z^9<|C(dVB)axVmYQto+08{O?o($t=n6^}(?7Ox^znf>5HNYlS#NBBAXjS6ANonXDb zYScZwzx`#hJI)E=lgc(|r=8l{8lw3$Q9pARB-ir@2&6THn^~h3#gy0hl3VX4i8~(h z2`{}Cn=ovcCqQo{TK-s6v=hA#a{D!rB63^{*K-7#?LImd+E@8fDe<@$^Qo+T)z|Jq zD#$huB#Pw9c661nvg> zL%G~Ak{B`~Ah=&qd_#t2@WKVFles1u?)>ymg&=KTl?&T33RLn;RsNP@ zbNT}OFXO&gd(UEWYB9gkh2M`$(XLpN&qJ?4#3EKetQ`>Xu)^bdi6S~yI|%xaLV#j? z$?U`_63PUc_03w*GjD$&WamDiTT?V_c5B)d?Fe{VV^j6dMWH$W>k-7R`<%sX-z3M{ z;a~udMUBho@4wVeI$m;!N3HX5ITky-rabG`X+5-P^YTGsV+RCeq@ zPDb>;`x~228T*D;NPfs%kKq11H{qw#LWXl{xisByzsO3p<24Va?xs*k#@V2kfMH^W zklXac4$|~%huBQ-+9rv)uBxwc#7#p_a{Oj1IE12z(P63smQq}Z(*yJV^-v;xlRqJL z{6vl>_Wn4J2{R+xa(vhM5v`c1`)qU}K!;Wpqqf1xhn}WUbO+}^NxoTL6JL2V+zGqc zp{6@4Uo^WD<^4Xcgqc@))6WPR$x)TJ@@FvJZ1v9^DzD{s=zt#57rs;o(WzCkVt0T> zr;(|CNW8*7j@1qvJcl020bUXuI7t}zp6{G7J~zrDpai(1htk)pS)n<7S}N4t;u3o`x%D*w6kn#NV#iL@KW^-!LwHdm(@SSqH&m>U`pxVz~L!Z$0VG6w;NKYmppR z#D&HHTmByq8D7Uli=tU0$|aOPw1ZSUROH1cEu}lGFzjcLgMaOrUf=vGLFdBQYeda z@u+nJShqT&U+GB9FvDV2e?SmN8a*7pn+mCl} z@e*q;7UtR&pkcvkNdhJTIEup6aHA~F17&f~^6Iqe3RV{9BbE)+$dPmQnU)&H%bj2V zZ2KW;yV9MM+Aa?($+pY#XuaFfFd=JSb>(;HHFJU14h@8!^~Mfuy@Iq{!PD~ijBX*) zyh?-Vfv`iOB-+s)gk(E3$66(Vn)NeS%ntQ4U(FFf9DK}0GO1?;m7Wsn!2BCVIXb+PqQ$(}9JxS=z`tR-Gaoxaa8%nNfLAirSL$HIByftkqlm1s8y_v2FOip2`c!+}?$}*co#oMX$HQ z6e~A3!RJwcWE>Xk4~Y%(O{p&Rkj9o2@pf%#k#qCy?mVj=4HOF9&5|mGal(bCkgy~K z0dOWE<|DW%A=t4C;cqT_=5PcJ1hH^x1VkF|f0?x2RLmz{eT%&;ubJ z=jNdBs;(R%VLuJoX4-H$HA|)O!D%3k)6;me97_Mj9PSlNfP`lmQG{eV>5u&(K|Gi# z*77%CCfZwxgn!(EH=zT^^HdV6#pwU4q;cMSykb$erc8!A#qNOLj<@j(ex0IovJ4y> zqpRD-dT7qQIY7jQNKQ%AHv~cn5cFm%49u{^Bs;_6hXpgi`zLF`7Hhy=5-~?vW7{(@ z;H=Hf(_l=;&W0$|h%74vpqoF;+mxkSY(oMCFLTQ)`XhthH*dSI6^Uovra(bm7s)Df z1M5Y1!BR|PwhR(-Qf~#^{Yzk$OjP1lnH*Asoyi6LsgV_Qy70BPfm^=*Hiu%WJmEJz zQoq-((4E1pxUxT>oHHV?8}Hjr#QPlje*UgI1qE`!po|hbZiX%`bC+#eBgXZH$bBSJ zur3VXiWy%o#21)+Jre#3k82V9Y4J67Q4=JUe#4@-ig4B8t;w7;om9Iag{h|nDR&6Y zrDYZ2!DQ0;4aBqb9S9Z(6Gn{!{FRfSS>5kAypuK8nzxw(1&@`cMqlb-z<|f~CPi~- zCg@xwV`5=^N%>7M|GH@>olFDU!A8lF*`HX8v0g)HrptY#C}~ zjB8x&2k#|E?&alk@BEw`>1{e65{00Y1Tkz~q{U^j@I;lhOiMgtvq~zT9~}yJ;_nSM z*8EjHzqP9_q?igd&YQ#3#6+?OOxZcL! zT&5VtL}}QVv~phgImB0SHWz{Zrs7p0TTMd5Nes7*M9{ErHKZ5N9 zB8ixw|1-V;wvm&{5NZ;QX8zKmPd1Y!pEwVqHq|`X1z*q#b@PRAe>oFmRyPEFc@PAB zWsnzghw2YD6yx*t{15y-FO4)6qgJE|%>ouXf!cy3;1E!UFCxTgZxL=#Km<-Y)n_z`E-f^yW1G$r(;-Afp` znYr!D`(uJdn7tRZM9IDnpmpwtDUYspejwQ?kNWkG&+>U@bbg@9#U?CtPTE67kWbj@ zTVYZGHjPD^B!Py}+rM@beQYpLXwp47u}gc}pZA~%XZef`pqQ*GE#8J(X{{GgO@m8` zM5u25Y$gnyRA%y9_S%W3O_Rl&NZCgPC-5-c{7!#JP)Ldq3R$~+GgKq)YMLX0EJv(#!a~5rfHORlkgC@TM5=mKkw#Zd@e+r@9EoQyuy>Ca3#%z8J8D#~ z#k2H8>{$6eOg81k=7F~Ec(Hjo6y|5p?Fz^39`t-Y+?xLDgba4f$bfA<0xjr|@TheY zSO(1RwyPE~*?%boI>WyP!X_GTIlmk~hMmT>{ojmTR*Zy8`4m#&&!?n{=~;PJ`#yI| zb5i@YsyXQYLVF}nf=iy3a~$(e1OsN_1T-`cHKxq&KX@KVU*btg=?fy+&eR}Z!jU)A z6xCvM-mtBskZf}y#sCRkL)`7yww&x-$!~))1OorfbuHNZ6FfFev4tpa9j>!hX`LOI z6$FM0RLuYI1Syq$jUzsZO%GmqGT^XUR7sRAx}sEO+HgGrnsl8Ae}%`j0e@q3#jvuD zMOq+GzeC)egM6iDZ3HK+ZECT&aX|a;b#)d%B3&PAvpUVaw{+RD3lLHkX8 zV+8JX%iSO6Gc*;Yh;}7A#^soS(BL2gFgEsT$FwyV2v0#O>Y0=`+1c=PRLV}PAC<}Q zy*I!>(89LhLRMrlTeOyoY(SMG7-E9i)3N;8&7|_$J_bUoX(0GLc27ryWd3}lKO{73 zi-!#RVEC7$bEKE}#wABcb}H&$>wj`M5o%4|-w@WjFcp;v+QtX+7$zj)acwfGVChD< zqlx|5KsWY`B!vgPq!24SAn2Lik$G>3(~U65C6WVub{g6=o%btf(=>O_m^CUlEDa8) zMU~BG`UL2>1>~-uShDS@9}!{aQ~Yh<&I8j8_vf%LXbbc_K(fU6zs>|1HLAr&ZF`rr z{X6BAcGe5AWAHJwrTDnmd8vf3Yn>Et!Wy{{u{7iuz-6-J--z2sMsLC2m@ElHfFo)& zJ~?mgb;MV94JV@y)x2)X=)B%XMze)CA{Z|wK(QIe?~P~31%F9~uexkb>cRzHdsIcm zJm@Cit+>=jCyeA&+alp>K)$~RMlYmCuz7`Aw*s11sHcyel*F&<9=j#)_NTRDsw`&Uu6{6Y_b=d6 z@GaIVEC~gYFHFMO+&To5V2Wfl7Dfc@*7PRc)RRiU`4;%1iv$9ofji^ro8a%F8UsMPe8wI61HkOfw zYyA04tl?@=I4qXA371i;&t}E!w2HqM2K$!-7H)Ql&+_`}wOtQ4Nb20&;@O2%0B>D~ zBbwcN1UC+Air{g**B==wNsZ|Fs70@lsy`KcQq?>qlC1LQ1DG)d?G4wiwLNDkQgK@I z$02_bi)nH(yAd`zVa$HnPVw1Uhf@UTvXTF)-U1UXmv3PzmS#tP zz^rccU#cF_MwX9o#0q9@`HxhLXHFjs5rX}fR7tVz7nIPDG^UB;o&D(mP5!j$J*c(b z_iZK}6QNVl+>YmDCEmMtLMoYY@UR+@p}Qcctz~awcR}^^XDfuOY{v!@$0Okl@VIu- zH!+G(riS+Ylsn}2|Jp`&|24`V*dt9|6#h_B;mueC!B$SpIB4sO2UlgV%MKJ>l}~|6 zxhb5SnpCmAp(tkBy3#nOLsnz96{B&G?G(}>P~nolW;k?fCy{lpiA&bTC z)!$D9u!DoF#yQ%K1w_VeA0i@1AcMMQgdeUTQmba$U!hX&uRLw*T1^kn?@QPR4;?qA zS3l|x2mPSNbR&jLR#}uRJII)O#OcXA$gTgr!z+Uo`7W#pk8IlWjDoTOhAuC zjM|Clf@5`%tyC%%_Xl*cw+fmA#mF-#AJeTiBRM=JI@)7V?cw8UjZ_Lii;`no{#y$;K z4Bp;El(!w?@5Dq_+^&bb&QfNU`TqprEK3i>2VA^FlNXNr+I=u+{^Pti(Ybzq6bIIr z7qTMD_9T*-uDZQhA%}52pC@TCB{Dms$pH%OI6c7ZIFbdL5UqS3hI+jOv%zX#h^aFQ zYhdEAvk8%?W-S8+3y2tM!dx)NNJZ7ivh16^opjE22<-Wt2}#p3>%Oh0Jao{!C|i+O zL}l`%)1U&CC(Oo5;%~M*fxB=~S-cYM@e?%@;0>7oP%;LkP4@~!l;$PgS z=5#t}K40LbE1`|W&euWu2}l@@b9F4%f~j7`Q)IdxYEG+KymP9W^7|c%LDr+TV&sk1 zLll#f0GLiS>W8*YXeY4=4%LtWswHNuHl+OoLRu)dSLN=$4ZHQ;20lH9Q~<=rZUVUPh{+tQW(i$ zlIMs8X;B+;Cc~+mxS<>>k``nH?;zbb*Q7hUkBcIh*%v#1%(jn*FRA$2g( zJ^3=wnAW}59Ag7XlA%&7nT$NmqJ)|LfDlx^2R?jaiEAFoU;D>`@N-?CaD6aOFWH-u zB3~EvURo?nCVtRL0=N?J7GTG`pz1F zWtPv0{@)3jCVFEJ3cZbQ_K=3R@(F)e!&|Z-_13=`B=p9FndpJ*UTW6vCD8-8OmLq> zTvJ7kgulY$`V9WGR3s)Di&@iuz3n`rR^G<we+)H?IFh zYupG~Q|-7+n3G7PVs#IT(HyoeEaXErwRxH-hzw_z(~c0NHm|l<_CODbkFYmV#0O%u2< znhq7%k%xyDQU%M}K{azF0K?vK;(u&Xl$jgZh7TCvDGJE=3(f=B8iw_Xjn- z*tk56NVAF#H7whg>=E!`0D{Mrr+|(v2gn?ji9mErBAzX+T*NJQe1sJ5TGipBGqa!{ zvRx*^n{>ShYD$KC;ji$x3iunPF|FetIGC!J0rwmr1Bb~2Cwa~pnshcd)WZUIiwfl= z6KG!}l#{A(H_K>nxPQ|q(m2lVks6;-qn0bz)#&%9oopGDNE;eK=8jvo$rHhy+V$>#jW{d?|M}b zNYk){AB*{g@V&J6ZO3yRzWlWM8&(ye!_UHl5MG(JWzae;+*J4B;h;O-=1W5Te4+Qr z8w33H!E51D+4&*3g1#F9qh#qaHvR#sG{isfL9Ii(o^DX(`Rd}zK!~;y3@di#4%6r? zrls`<27zRVyZzN}QseUnq?%{tVzOqGJ8#FddUu^vipsR+zv=E2#|i| zvE#9@5sRzdJ{ejGZg)6!l|y_irseion~pIpQ(l)bJhWWQBUbh;{E=}!rf?P6a2u<~ zZ6eFZIo<#*;zU&})Qs&65bRNaOch!r2AB~mRHjS=um8Ciq0$e}= z?SH`w%$J8g!#ID5UygC@gx^&~cON^8w`9@lIK z453XmRyN4`CImMNXLvQ1f&chH_>bTz`?k3b%L{BF$pD zkfK2y#SW&w1c;_V7lc6#KT!GipN#r zm7Qy@lB1RwrS4kK(wU_2jz<@J|12-0juo`2o7Y|u@Hd=#OO|LQ9w|6%BF3WU^qUBoQo-%oGK0=%FnysI*8kGlBUW0`grGoP7@GInWn3LC&7LM!A z6`OZH?8!rZ3z}G|wnvCk%=EU(F6_U4C`L7!Bkr$0m(*P7_DePA3(?Uv=RpAsME5;8w9wj^wPvC?c3Cq39=M-pTL?zs(tm74cf~V}e z{Z|QrNT@ADrrlPuiR?U^N3DC6MszDuFv5M%Sp!@Xv|i=iaJH~KFx>4fG_{vx;~~9j z@6#c-df<{(YIuI6&4<05ng&KRyM-|pHn6?;9xlM#3nrVtkH4|Kz-Ih%6oO>+;9Wo; zh|U^^tn2)5Qi;Y2bw8M&N#VFIO&xC6PvfSW271}W2#Rk)8G&t1pif!UIGdk^Nv=Nc zg*FF5G!Abv<9fo_r2eozY7uvcp;fPL0ts`f}$166gvv z=Kft&I%g)mUhLY#BtGcCxN7S_TrCsOKcJXwtEow;nnRwp&VlgN9H+~&2d^}Dt#~7s z_qO6t`mkX2hGFn&#pr{Cc4R3&_?1%U!N^EKZLQEiRD3(WGCRGoo+>KQ~k4V{B zRS)SwJ6We~Ii^66xTcyUJ(#SMw5WXwkYyQdE~DS!pbdk-hTE0f4S#@}qZ!V5-Rgs0;DUlV`FFG+m&BSIW}C>Gzn z-S27L^Kdjf1>?J+AQ$Sx4P-070YNE!t(C($rXjG2C2M~L0p7=Wdekgu0p3v3=3cZv zrvnT;Wk2Z;2nPzg`c5pl=vs0y3<#arPsmTWHV?mX`u(4p8V-|=#@e(C!BZI z02s^ zd0mqTsruD1adJ{C%frn!zI#tLlC?xOm+~sR2~o}6fOHq8yvlxxzuCKi$_7FMEs*m1 zT@O(vIVu)UJVE&g>4Nw1%;$hK>Hm74eFXInc&O5KhZl=(VBZPfn4R^b`1!7n46?|PaF-4nGSP>0+obfy{ z=gf36<%q%#7t9H{Xv~Oc?)&u)()pxAId*owCyDW{)u$Aap((F;+#&s$c)ryHMdip; z%~1S}Qkpheg9#AEC5NN$yh2XC<4-l7SQ&}acARF)>!)-5spjJ=gs_0ajr~DysU7C# zQeI`ZA+VG8A;49nHp6q94v?1`Q>`77qH6NR&A}jzLfEYt^<3C-a2Z){r*GJ+6;>5xC;adkt)TXIZ%N+AYKwPvIgb1k%~Lr z!BkVl&%$bE!L50nyAIuQ9W?cAuDvwxrG1(eEoY@KQ7H}sSa{0t&pw2J#A0p+4KJQ7 z9?%otoc!ipU1&c34HU?5A=1GB!u+l~ED+>jvw*WmN3u;UiIjg1_XIy5%M1VdGEDhH zH>UvcSRsQ~0Fep2JNqL-Mh8dCUoo-d=+jV`_cR}Y)6dO{ha2DV6>xTYQP0^8W$-kN z1Tc8Y?xLU^-$GY+qYLG;FOigYil?FR6E&J2KPgFPYg$Dgs;F3g4DWB>P4W5|S5e)l z9xQ(kf>}EXA$8&Q$y=!}SJd|Ie$eA{OBL@GRrKXj1@7_%a;I57QFc%Ng+^5)Jg{l( zRI+6p?+?|kHp?f7&ua-r^orRb!eFC)T&a9fusa{jotQ`x?n$I!-ABc6V)P3zR*;2q zk1vg>V_V00+|&Yy6s;IGE|YE2eH6Hlbcq%XYo#2niBwec3Z!CdJX)L)+C2d7C#4#4 zLe4={CI--yK-q#~U?lt%o-(8hCkCMSb3Uve@-%};qG6EU+%QlMPtHe55(I5G)|w<% z)VO)xXr)aA7z8wTDS z%s1A29>iHA3lI7Oo?K$MU$jG%Xos26*|L%S-w2jr5&b-fOzqu7I`-w01+`Q(1ei-sgbJbU6O$&>bM&vl{iB7d?dC3?6cLYrQGf&A{jOSsDY4E=K+b zO*|w&b0W|nv-DjQN<37VI&K&Cw34pgmZZlXS27{;DBOl_&P&AW$3%soaznu|2*L=0 z*v1xcA|oC{-ho(E?PgNDhUAlTYgehV+Pms+{uJMD5b|$u3)<2m7^)iyXz-LFZ`#Zb zLKs$SMnS%wzMW{(9YT1%LVJos<)=6Au?`P@J2RkBxZ8Mec;G4rT6Yks+xF&E()O0$ zpVxN18P)8%i`6aD{eIHa{-!2Q5i&zl-qfX5gaySBBLQYO`*0!D7SI;_<4g7>NT4&B z@vEJkiZrFrnMnDZ21_)@H{Rz-fcOrcfRbj}D7`rP42=DJ#^La3=^bXeEf5S?S1JTp zr= zOpFfnVFOS<*m@t@B^*6QPRhhBo&2;I^FV_qztLT=LH%4;-yG1OwuTkWGTM-`%yYoa z>w^l8WIReMMdX#Q0e8LT>@K(&^A@0w-1(&4eu_8qkr;g-(RVN|S8e+pl-~W0mvwb@ ztn@Au7-8)`Di5~%PxJ?bvum^m+F$)QQnSvRkyfl0a>sxcv=%>8zt9^HmaiygX_LB; zZSvvnGu;6(1^9=vLLj1mzQI%|y;}>r#kc4?S+$Lo-jR^C_aQ)IM<1<_KA#PtY8)Sr z{jBaKxrdV(DK}iqjqbiP;A|=wi*Ox5@#wSN!8}HvBb5`4NYMKt*}aLUqw=4IT&%hN zQIDJ6j#8rb?Wl7B5~US9WuqvhLlZ+7&Cu<){(!jexZESWbbEB%uuDB5uJ*1nrA1?Q zhYVyP#+Xl0L`Ft9_gGLugS1EQg!WYrhzRF`Cd90~< z4$$B!dzzv;)(M&Fuu;yi@f?WK4&uMQ<`j+6R;2P7|0QS5h8n@v-D||`oJeBY$G)T% zo4P>~)4dO8=lQtC&CkI5nCgGVdY>g_!RCn?7pyk^3a*`szv4qRuk9Khk;EDC#jg}# zDhU==>-MN?bc@U!RGGU!Fh;KE0UNdGJW_?1=Bh3bvz=9gQYpL>Y1CDx_gtjpq+oM* zrhwzD1hk|Z?`Uz-yjq9g|=bBMuEX8HUD=;MF{K8Qq zROlK}PnMK9DAF5c(=Ic9yH#B|05T3A=Kur}1BYwy{r5o*YUn)DdmGOfV(Nx!o zf6a9V=zOc9V249^n|M4$b#OS2ent)lz5cyTr1tyJ?nSNro|?@zulj$!t^~fR;`v9a zoLYhc9w5+&KM}kT59AP3@J2;Q!xe&fpxi1U3WT7RLoJ6|4z(O=3z)PCDs3@olPaf* z9NIKUNdqc}2_#iXg9$J1KePMxy|*cU`1shc@9Si;J3BkOJ2QJ_+-gz9N5M{}-R@S} z6^+foKoFiBBO$Dk2)quE-UV>3$1Wk=BV%H}Rhrd|CdJVnJD;tq#%Iz4t5QnlxlNoW z>;&Z>s@jz_JFTqI)5UPB@!&}3jt|2 zUDllX84`!@S6j#8o^r8`Pc%-GOyN4~?7`k*L(hY)A7YtYdAAd3wT5vR~L{+ckozvZH!YTL@RyVW@GgO)n}t zJvii;I-Z2Y@EeHEfguORvU(H-Ce5l%1f7tk9$r!Nyz$7N=)>u+{N%~O{q=vs8+3-y7(O3YZ~b18;KT-6NIWNu|i1{cnxNY-%MhC}R=ceuJ4 zM*sZa8INO5WVP91!;D3duqtHPE(+*ZM7j`nWiuusFx=6XzB9N^Zh+m1VvD{m@AGhS zZeZa$GcGt`j&6*bk5@tnh#2~R&i^UdE2=eON&{zCIpp63M{5%{%s=Q(*=@@CAGRD5 z(HVptl|-Ry0^QA9vt97H2;!h z$~^Q<5u-Pyi%@EHRZi!evHROuf|!odnT#opBxoEk)e4?T@F!!OIvn)sAJ2;JV0Q44 z&beu?WY|~?aU~iV&1tml;K_OYLNuLediUp&u8{I-SvR!+y;*+q8PP=&Jyrg78^kKl z%^R@RnT}O0?HUYO6m_73?_ehTEcrWRAq82o<9vA@gz245f|&P?Iu<#%U<0l!76uQ; zMVmZ9s(QCM1E4nB6{z{hU9T7w_gM!cc5>+6GN)ZkH{U0(e!1wPp< zIU9*en@3E8Td=MhYFrYA)tsd_?7F9iVA|V5t-(t!l{9$3W>cK^)kf1BNDRM$&?7?U zqOe(V7CXh+1PlKP~~h!7#&_4 z7){`1Hy)O&wCZ{14c1?CGTDT9`V=g&@LtHyLS7erJnqfA-tGdTwg>q#C$w_BB-6&FN< zff_tH^9WTo+F(WmM)6uSxCZhn!T99$MpwbD4zGS#+XTrmBijXVRe&6?fddS!Zg_I6 zgdW$Da0o<0L=K+2T@ddJ2p-{1yRvZGU1ANEo$uvLV%}lB#n83cA(+1Yd~SU*eYk!^ zbe2(ZIshq?8J{mPP6YuNhp%zcGmvxdZ5Pt-^JgGY;v)z9OrZK@|D8iXk4df#=}D5y zX2=Ct$0XMdei$x1{G6E8wR(qO+O@;#FWa%BDwcYVC~i!=x9-RBR)59r?Jk!KllxfI z`5|zIWPSM-66VzqrkKaE2e)-S3b%DVZVaaCAM-kFiTX#);6tcBDs!hC@^&!VWP^bg zJUMSeiN66=ghmTv1592AJ^wiRD%{rfDyNWV*RyoukoiPD1Hisy^Y}X)Jt7+<>K%77 zKA0yId}J{OYYRSfr4K^@Z>CNN;T?&!3o94BIHNn)@o+YszB_V_mDn(soeB%i;~nz;*y`f7xMSo)he{`lo?fh zUa-+7in31L3JkM-wD%=~vNXe0Fp}T%=4o*6>oo2R<|ej$OX%f&G}NAKDCcw3K$gMs z2OR_l8DIv^R6zJeVjoovP35N4e zsMK=f;)lGE$+jIGOyr;};+wA+YbbJTLR!YL3qu*UCX>YcUoyN#$R8W@JM%|+qWb({ z0g~TD*2@u>M_#Yt?;i?;;K^Aovx)5~oe=t5biKBwTrd=ttJo~Bsr0!*tDdMAait1t zKqa9cDhSp^D>yEpQNdRR56S02*4dhQLS>Dri%u4ek-Erg49H!CEy<+z1EeNNE$g-q zv5rYCM(#NK5mRu%vw}Wvn4v1~35RpOWyee<3~p~>Bt6H!%xuGX&+BjxQW{vjVEYIO zLsL+JErKtGCU&@KP|S)p4MM@?YH`h<%@%C>fMTKH_+k@Z0U^kgFHx2raHTlgE#3W3 z;StS^$4v3~QPggG<{uMwJ>qai8+ZKvul2>951(;(f7&r5*8poyS<8nYUs1#>yU;)r z$)@1S3vupDd5A*tEV&)>72VFOxyBzP6V?_K-0|Sy80<{XBZuJEkC5i$9TTxF!^3bP4@pygVFmJ?bUHe4P z7Eq~qNLM7fC(EH@D9g;aS|hV7%Q6l*J6W-v8w#7M>7i`#!mWLmJ_@F~_2!3N1g7f&}XIN{jo-dBa%dkvojL>WQQfN%A5Mk%Jx=*`hpp3#{i)+@fxl|LUoyVX${F7*ZUHkR+S=);1*xqa=($ zdSC-Ihf&hPsJGM=wD3fuvK91oL8p)&4rZIj!qNGde%Po=>VnkS!f73$t{O5@*esYp zQrK*?zQ`5RA6aV?$-y#R%!99nJ?15|)52okNRv>uH);7R?2w}uapA-keCwP30$AO< zQ*`dz_C&T2seD4c6F7VCBzhDzM)V7ck0CDjm~!60@eRru_;wTJyk|LF6oCHY`#ywx zsQca*ZP<6rV?PQ_-j6i93a31eCMQ`NJ?9<*b@4LZt#1}G; zvY7(sZ}hgB;nj=aAl9h&?07fgIY#EsaB7grn`JaRb}mjuVNVV+lmwI&9qI@+iGc2f zKPtlkoVs1Gs<~xi)`m_~g`&>tNu*%DbY2FR%*n^a0iecC^@^KPqjxy8d})?&#T380 z!>`kWZ6}2kPm8=o4!6gc*URL`@S~V3Dz9tMykT9mk3w0NX zce)*i%f2)DsXt6oX;bWXrWiI!zZ~Zg)@_@yjwyyD#8HYcM}sTlgf_xUZS;D_uB+_R ztuM4N2x`end6Ox{B7O+SO$RFQ);XeeBneHC<3&>`4E6{@D1SIgV}yzT`5{ ze0uoPLG2lCztFe)MC$P=uJMBRvyWS+&0bU@c|V)=CM7K10KbR{qN6EI+!Xc^HMe0T zB%j}?SF5?vRXbd6&vehCM8X;*-p?_`l0&PRS;!?#34_(;8H~E_(eaS<`T0ay!S*Oz z6=5=xcm;Z*mac6cP9>-9hNv{mR0Q515v42C7IG<}&jg)V2;m5I+Fo&I|MSACJ2@jf zy_YyH!o?THfKlGT!O#J=0q5ihf*yhssaP*EX)hVDU061N_cPdfZzSnDe71IuXb>?n z+nrXV5+#rgAy?CO0F@c-4}@X&dGr>c3NrADsjAVbsH38Cv^iu_txCW%B(2hNNS8_b z7NjfLcZ1<0JUL%M$=P?FD2{cXQrsHiqWr-xP628<#@9H9f2Xm3+Ks!VwxRyz<7LeuM2ye6A_&Q#PYj$(wSv zl5bM+56hGYdSgt?a#Vk`OW5%dw~DH9kyR9CS5@1ixQ^5Vk2#x3$#{vg4Vx1ykSMQbAnlol zS_)fwHV9jLsqN{)MUpQwxJ)Xh2kJ()`LL}iK{&^Z(a~50u?SzreT7eZ9fqfi1^-Mk z=Ro#{6A=76Kfd!?*q8w8-xuRgKA7?KEuqauA{jVIJf0W;Td5Q033<<}rkqK6pSzOb z!@GYq1z@&~K$wZV@tF(kGCRZFlwFv|a9O)x!^ zbl?0nxGU0eiX}qMpqGldRkDw4DjA7wJkiGj4vvljFlWBDln6hVP-R(RIa-f~nv~ z^+s~OKPA$nPFwvyT8(9S*XyGZw{_(Y1~_s#(i`K5^EEvo@kRRlv2VS;Z%Q`amN1*^ zg<~Ff3jL*QYTHk32UH^uM9#5Sq;N=&gZN7wY$z2H6+x>fvBeWHoc+>h6>*YQ_N}}z zCG9&Za;CMuBScm52ySQ|nulFD8giz9-c-1X)5$P2|{pvsK* z@0(JMNGVzN^cME@F$Y85eRn!thxhjukWqU0^x~!yu86~O`c9Et<5cQRE15g7;9-V@q@^)* z+`t2gT|)UdZe2Tm=Wb6lRiB?sSpz{lh>N6^^&+09vxeBok%i$7_IeuZb=VaA80_^g z0s-f~LRUn;f2E0>)zd?`D##<`3>E#DYYLEyz1%4!fDczOtRZ$aZ3r9zQ8EM^YwiIX z=N@k3HN9vOyV%QbQ;rY%15NbCgC}SAg=og?G~#*9L#^RnuZPq#*>9Eo&v?S~Q;Vm= zH7aQ1z@emKgrG$>9w@?-v!^L#2xcK#Rdb+5Xzs7d-job9KWH^7KBe{b*F;5+wN?lT z=riQ;Ir}wWM3uL7KVYJEZP9VNs^wt>+Od=G9KJpPWJPebSxU3^+QHnr@PIFz?RVFx-*L}B}$k;2|wwRVl> zmwF-Z}v${%Hs_54b7%~=pD#cwbT%I)-UoxyGp)w-tVkp#SvRNW+VBkL`7)P zN@9HOzYw2EVmHE4?zb&M(P8zkF9=G9Xhw@!l@|?j1U&(i;K@1Alp%s-oHNTo)hsmoYjkUB}?2XUt|9ML9hS=`j^SybH!0S z|G%33JMT6>EY4sPD%W7UTb`(^;RiD%_^ZsGJaNJLJw=Z=t6%$D*fmRUGmhQ)dIX(S zSp@L9a=p!8xBJy(%!@l*7K1_A5>YF`8bY1TU@$Ntm1+B9)jf_PLgToZDbz%`A|X9z$)in~gwwW2uLhKQl3s_X~edvD4mT$+JQpBY!F*GI= zLVVw6X5YR+Zm;2mwFYJR7JMxdwpmMa;<$+MreaF!I9${+E8usj0pvLr$1q*KErC3S z`8k{waEWy6WD=Fj(}O^bshK~TatfDa;`uHlx7{{CsCpof@Kg@9XiTf@LLo>S^Op<%GeA zrhz~Qo}3d%FjEhJRSP#rUVT=$ENAkicbl7EC0Jpc$~(|0Sjx)FS2TqzKmwkelY|%> zo1nj8OO3kKZ0S2oC>t1$N6Y# zGCmLa)}by>YDVnr-$F2e`;d@_T>gF7Sgc3>Lc;L#a6gLegM_h;^av~`ai`URIn>8x zb=~%n5UTpfWT>e6sLJP@VygTwUHq=`x0yOpM$GorY$vv0(da4tYsZZ zuaX=~y)KN(+(Ij`1#%>rCeu^H)8J0o_%x@LPkblM+VMC<>d0b&8zJm;F4mMLY=yX` zmEn_r3uTZ2&@guEu$03ldz4nLy=Au1GGpr#5Q|&~&NwEMawQ?2&aqWMgVYSNB?ybo z6dC>7!3(ZzyfG2?mKnkMtzul9UKpX=HkS6KWzz*2W_HHkGQ+YIfYOg8$<%b{9xz?% z?@>3xfAx1sOG3RZ*nwO~d}96jlxkI_wln@g>XLf74nD$@^DovBVTMh;B-k0EM^sjT zX!$a6I*Ujmp>hX-GYHLIHhhC$C=OXIo{fjn_G2&@=p7_w9j!v7_@F0=J2w81a>?rD zK$mIdIHAkL2~-H|Mti9NutY5=c_g0Zc2O!#dJz(lM+nN(A-5&#wwK zKdU)ze8l7O2+msAbAe+bdn%%=|4`Ae>Ha??NLp9!c^LmRu6^(`kgp0X{Jc%!q+#fA zgUC(yK6_N2?$-iErlyjFqN-iNs|#I0;AH+)$W}F1@JAbGg%^>|f^1b&cUuD%*V$IowR^s{|HY+N?qpKnSKe7>o( zM&Bm|^Pu5zhh=?a{D5I5gR)d>ye^V5_+O1DU}1H}rOqUU^Zjc;6P_GFY5{qKf~%j0 zCJj0Fv2gxVHK&UWRp5EHW1uNm1uLsqBvOqltCJo2*@6|7M=Y4lV&Ng1-%z);#Y)J2 zThL(&YyytoWx_zNvdcojpuAJi9XMd~Qd<6XsxcFZ(Nuz4ERagD+@c=OzwPv&Nv7tf z3R+r1xF1vMQe6Uuho9`sNo-0OeelVK|G@)hq1G0+cxvB>qyn|Cinwl)e9Nl-sB$~! zjxG8~Fq2e*4xf@nNtIVrC$${$1RD(hfJb);RuY*fssfJ#=>llY5IA#LH$**|9A7j& z;ElS#r+SP@Z%~3N@XSTpwbM02dZ>@cDu)bwk95(~8q+Reor&AE%dLD2GL6?So|m)= z=suqcN0p@7xN8UdU-;;8xGa(Xbc#p z;f-HWCwD3-=@#9kgfh2qfHdYYRQixDVMzse&{S|ccC*vNB@Y@?+$wv}EKo5E3v>9B zK{6bs3V*@Fpzs%QM^FEiRKn4dO*|8dTl6?dN5gi-g+`TCMc15AW~~d>K68VE==*7K zCFsmxLZ~mD?v6REB~wE*#f@?$s5inp*-I0Yu7%96EYRTi>!E(S;)0%k3yXSkW=dmp zhtvciQyg;!rqh+r99JcJ!U?JqwgGfqONnRIfE8ouN%u-|L}=VdMu@JJ%!sTnF}$66 zH8+F>!`aiSbM^Ygy`r%>bE{4m(7P+?@nm>4OX^M6T4I2TBs3Zbxf6s3?il`Pq>zVR z;(4o_UVYwjF@%dvdG3gaid!?PH%STW+Q@9G+AHRyi{mv0aGz8Aka%nIW6{H_JDI>J zMfW+MQ#Qa*2}?7k?sASx7&x$j9;UmQ+lr?OmBp(0XHwBa(rzYX!j@-D6hUcv(_Mko zKu%Ogxw>qu>n80!pjCkJmEiOEMuA{kZZb#2PL3&osuAd6B>6n9eB}d?%>7ZIE=bNNthY)$F>`o!`jG&efWKs@I z0ee%_rRKoxvxM6F%wn|m&ahKo+bfviQsY)!YLFo7uy!(sa)*IKmxH?0RNp8VcHF3v zEANSa!ALB6J7l0PHDP5Hp|T)!S0Yi#f_ND|!lSzi>qrwzs|bvm?Ou4sXRoWdKT>1z=f;N~ z_okJz617CO$L}RwApgJ?t)h5y3_4IhFU0WXnAl6vbna;r+%doz?~!y``GIGk)!1n4 zK#0;_B{>3N_gXrT-}5B+Pd~}2#rd^^BFey z&KGtqgUrX?T}$2W(9V2vG|K#^+g7Bbb*BS@peO#*-n8uDg*;34yY6a2Jwp~Fm?v{w zR<}BjU3DthpBU*w$UAHhkW!{9nxBn&HbEZ7>_~aMI@aV25 zL{S#xK>;(nbX=8RaMo=7TeA#oCX-R#z?}#@NyfXbi~PT61-<5U-3VbeQbA!t%z%>ykFSZqYU{L$&yxi&vDH>QE}Jg~U{hKX>T;S= z8w3MrVwPsJpueotb%i0Rzx2zvQJyEt^UA?wk zVb%uNQ$9Kbyyk|ev~anY6}H!xeF#_6;Os7nO0EfPQ#R4vfXqr-co2MqN7o(ey5VRg z>o~a21*T^3nj1AtFb=c$BW0_d^M!Gb8H16K*@SCLEZDaTL4;o^5U&GD|oRL{qk{L^uRBdx`7Sqo3V~{Ls$zt05p?K*CiiA`bkI+R+0a4mDf8tv~&$5;Z%k+s5N_3 z?5DWuqnX6a^`1zS?+o-#r=zlA3XICeDQX?`+ll>>yLa4g<5#QFhLR5V^)pDqhOAxM z@6Kz$YgF{sx~&U6sX6k7()qRhEC%D5io6sAu0YPefM1j|IH@+2bhi-VD$NEf>m=E@ z*u7=1PwM=JNN$-o{EWkmNrCkVy+PKcI2*BELzPVAgA7U{n_jvNvf;o;q1bOqIE#Pv zru{_+O##fBCvC3c1ebOw6P#L^-XSwAt7ml5rw4-7_rW4-*L~_n_-$W#DFkPyR{oW+ z;N^@CkE547FQY9r0tmvR>)n(vI&Wb(-o&g8g9StJgYi(R7+jWYiH(CzabOo(!)i6Y zxm6blha2rTR zlPEl1tG6X&mnbWcV6zjFqCzXn0BnwX=v|twB~#$ujwyVSzb|mf07;b$g0jhmIa%0n zz3W00?I~*LEa(jq&|7WB=e=8HcTKkK{48DtnI^oVS6*E^fhbeYcOy|rFARo{@aXP= zQq~Je&B=4}{0)&~;_i@z71f6t|K$(k zPE@QxF(8ksX^;ruGC~at9VMLI4O#C3bIb1 z9QyN%q4OrYc-mh`Xt_-$s@i0sefpmqZJ6Up3(_`$U#Uhf3imz5p^G!o>h@mJ^U|Xn zdLxI<_?7RzazM+WH%aIV^8MbI%e!;v%^W&|@Av>R3d>8$BXevj$Dif`i z!HwOE__5>V&>6pS(+krEaI}3K-xICM3K&fxpYKcd|@^miO58ED51?s**g z`%JX@SC%}#XcmXw&T*2#cj*^TuHeu+INBL);{2mc5r^K%(azv|i|zm~gS#@(YQDdk zSlGbP-hDAnzW)4`Cpq*Uj&=s$-~8Td0f+wKV(7A*Fwggo96IAymOuAROOEzW7eiNk zX47!!y&O7&?`_APsOHc=UkvTeY15ZO@5@B1+uJkY2YxQz&!IDZW#xvI*Ko8CNN75{ z0$NSG>gaR43?AfYXZ%WEw_a~>w13G&t7%u8!aX?jubG^vXTa~)I|?{-4M#hJ@9#qv zUIzV{Xf^E}T_56Gu$Dt-{K}oCHg|BG1TKc&weE+b9Qu%imfKs+$?p24{J8ur6RmE+ zAG(Y#WT5vO2WUCkA&zziCkNKH;yF3W(au00Jn{oi``E?Mzjj`-gyRHO8md)Bh78t> zdp4g#|B;DS_q@Nb3qKeCc`;6E>;8I%qka5h=tG@0@8QrVICMsP|2FpJ!5sQzCf{l~ zIsEBeybPYoM5}*gU7dy>#eZ>}Wb{t(x*u9{oP;ycYEJ6MEZ)YUBNyW&^vUB}IrM3c zc1D{VJ>2n84t?fgw12<$cYYK{IW+uAHQ#@X-o&>_jN>GuP5%7&rOg~C4IJ$Z89e^m zEju{$-yH3XUwQJH|M)Tg4@WzLlT)L1@}u})j&=t6ugY>>Yo5IrI&#U*103IRj+2aE zdHR~{8V=oj+6f~X{$N;`|2Bc86=)f zuxlZ&xz*@@a{uOKFvZc%=*xdsRq---{$hNetzC4K<6GcpXY^%b$DwCAbecnF^iCqT z1uuhQCR+U~&#nBmQcb%hf|Z?|4BG$s*K;k{@)8c6!FQ^|OLuX!n`NTar>k0C#c`5ByWIz4&vTr#=V)i} zedWO$cuqQSv@_7{wZB(#v^#RNGupeuGaDCh=&L!}8R(8HUOU2}ueliQs}J1IkA`bG z+8KObt8K=&32``(^*5l^y>s2u-)lKeh%3SWH_|#SU&6~E?p&iDywKdxy7T^#ybNB? zp)>gI(l+N&j*~8#Xf@wmpZfh74&5~qt(K~8%RbX^=x!W3qjz%lP3C1VCljsazkN)r@b!8#2*qzHeMw&C5ymOitA3|NXpxvo%}Z$kERDl|8N))}G`0 ze;1>D^RR39v2zngJEJdq<{#_K(eA;~&OrCtyWtKFeKSWpgYR1}pZEfY?#a>4K;QP{ zZPgsQ*Trbx{(f>KhrWeFXYhT;Pt})m=vz5X0IimjJKNa0ap>DPbOzsjo)~h7L*LGE z0%$euyWVfd+Yonfv@`g=`^Vr4j&|>hq3>?5H{X~mP zPI0s!l+YL23v2!4!~2GC=!ZCT2H(RLeKLSU58*h;KtHu>yoN&$&7`g7`%hu^2xQDZ~bKhw{hslFGf3e z$oah-`U#G9hQu23cJVU!Bu6^~J!;2C{3ssA(a!jlV=gtG15T2fZiu?*A6S#MB(yZz z4S4>K5(STrjAY^up>hrJL}~iU6>I($3f8C{Ir1x|v_5#HmlASU0u)iA;n9)Nj&2;x zeWyh$2f8ne%Q~g25n?y_L(bTZ5xf7)4OS8u$b9NNF;9&1t}p^YcyvS|@Gcw8>AkJs zW3y+yVA%Va+GQj9l{Z~iGT5XS$L0kgLBE5<@syiUTfwz#r#hzQ#k7g! zF+0+GHY7z<@+qieYLG5^(O`FyRNj#2gj`_+o>>!j35zCjF*V~$esp-l^=DG^t=1r> zc8fR_e@t{h#!&{3j_9k*cJVq;P9U75=qsUgQ`{JsTUs3PBpXYOaIjI z04Rr?vllp$xuoEi`+A-h7Tw3)EfM`Cgh55Y-eZhbzwGOT-ZQL?iSm=2h;Y!uqa!Mg zw6PSc=fG4g6SF!U_(-U${m5vjs`=0-g5Rf6??kWo-+Y;?** zy#|ktXhYH}vR@g*prBhOWMgkQE?5#LZRS+sMAS7U(0Dv(IK z5v{52LdY2)xmKMTwOfde;(f?Z48`~wNKkLEn&lWY9OI~$;L#Dis-_37qdxex^T0*T z1h*7V^b2DB#tB}GagbUdwel-{!jvi+9~NWemSU?#_E<#Hs376d5hcuv1-NcvEO6&> zSt}O6EyW8B`nrO*o#Na;!F<0+uK}!`@U7SWo~C9(mDdsJs<^$Omp2LoJUXJVGbxKc z59zqbniSlukm4=^+|dVpUh{%uY^tR64xor53?3a(>T)v>zbZHN_3gG^=>BCubU!)N zTYKZDLIN*6lS3|i>6CoCFS`D*5ka&*&mhD+;Qe}#zwCfVsNES1d1}4lT-i~}yWElp z+Wi&LBsgjXLy3^=sMQnbG65#@gQO%-8^NO^n!B5%Bdz#SYT%X~7Z69@gKIMhWr>a$Yx?KFuE7tp-sW+98%cn%S zk<~-t8A4N~%^-wzGI0Q$*wtznOO*1hHc7mekK*ya2RNRJKJ;WCfVb1a#; zBLZRoZsjX_!R1rER6Kh3Haa|qmoE$%FsRAnQAgTg{-Ru<29NGpj!Td%$OwQ*kRZ9# zwzd$C)t2+kJ}3EB>aF5s5X;k;{`sckj&$AeR>^t(#MrZul}`J6_ev>IK`7+Vk`dCk z(213Ni`zSB3(DJ;r@t4YB(^e5(SR;{`l7(z6kVP?oM>moq`z3~! zL}*&CJ$FEkv%Ad+=e|CXw10o^xF5avX^yj9`i@qJ0D}~DZdDv0^32SlQG~QglHr<|Ful!j$rp3r62LF`9IgY{ zC4d3FD63u%5M+8{G!m5b1Z(XvSf}U-oJ(OYDuJoL3#5;@p8JN9&2f7&(zvj*#cZAd zS>xR?j}kA2oHKnf7K!qT4sO2m&cPSN-#V+Fc#qtBM1Wo ze7w=KcfiD~11;wXwXF>PP-6|Gmy&(b|Jl?j6gM5kpw#GfCnJvf`XjvzX1_Pk(i`>3 z3Ph)B_3`v8hzZ9g78BO7R>w=0MJfMHL$b9HIh*7R=#S%6Kj*@=dx}w^4k4L<uY`U;z#&Sq>vk{AJn}=N)&q%NU{lPa#NC!7{e`z?fYK|c@PIG%w3otaXRFY{dIn&$rvol zdoPxDlB)zj%gN>znsJQbDTF#x8Xz1n+Pp-DH9;YDzof!q3W3T~MVojd z%0;SXAjyp4sWQW|6hlyQl!iW5>ml@USFXAZ-rR?I#%K6eLJH0}Mw>udKP8|Ejbb!g z;L%NMN*Qy`K=dArj}6~IxJg+;pDNxO_D&CM{Dxe8Kxe7{wqAy6C+|?uV8j(Fq>Pk9 zFfjIpiQ)97tr3pUdmT6Q>33S#*oV`}i%zi1d(B2AM-Iw0t~ub*&1gz6;-d*_HxJw{ zI0y2R|IWUVWbE+LDG|XSKudVsUM21Zub^YnJrl`F(#@9cuVS5Ax+8eKBWSiwfdwhYh2xCN(F|v+RL#0^ zM#HrHLq@05n<%)UF&;O`;*Ika6Yp8_cgV5`8vG9ZNkLoz6rZzV;OA$X0%vjCgtA^ zi;)xwpB;W`n-HrC1w5xcb|o>{?q_Nfg8THhF-%Mrw3w9GMKoI2T=E9TCQ%nDH;ta% zJPGcWdRb-apDgSyg<%>J%Jn(89ARSoCQ_5cm~lP_>zEkh{Vqwmq`YITaL-zE)%1Iv zDgE6a8k?bG|`?*`oe8fkp0b>-#?Pl=ig_spjD`( z%ZMqdmas)|odA!{N(gd`NJvsBW%XENhjbYwDlW@wDtw;$)en!?8^HpIIFo6z*>|?g zao#A7502lYT!DUvs@dn`mq@1bVE71+&IToIb}6^LGfmahf=62mu|?b&73#G8nL?YJW<* z!?^3@H!G%sImZS!>59&_*0{)V&kV(5$|HMwmK7u{J64uzS$b^7JZT=DQX)7HjC2Q>NZ)3 zl!HV3 zfN_^lYveV4?H*6mRlhEkG+M_7d!KQol;kZ)m9@fRjw*~9P)ziqhTTUG2q*o1i@*HD zFW#h*OxLx%k3W!z{<%+#O^3)?zc~??l|8ls8{$g{Wll2Sl4H!zJTB|y8)ga9Z#7oc z4s)h!r*?%fK@4bDGR%vSv=Y&d9f_M7@aW!^*u{p`Xh4u*_bE)%K5ZNFz2F_fZ9B~0 z*FWV7lQ}A~u;V|*cvb1i_jH9E3Vij6kNew zT~Ty1y8zG{vd9D>=wDXS?>A*Rv?Oy z744$fZ_t6VJ@64y;~N%ia=d+EV%G5LX~Hf`-0Ime)8jk_Jw|gEmYCDq03YR3}!ejk}fjb(0-m!)Lt!W1#={Oz@k?!GQ^CsxMF}ux3Vd>WOvZ*BV+BmqCtY}}$_eqlm0ymo1NI5p*o!e9KBGYjvwJMGf7OFJHt zu3dUPe!V7k{+M7k;#OT$bjdp#X!7;Z({l9Zr3Phf`m1 zlVbV_>2RviAOC+2r`8}n{1P%LLTlj;)qGr4Wi99jhg1DHg*v{Z+>wmbpZRsZU?GQ7 zaCy2Mg|9xG`k0XA^d987cS?s-BjIq$V79>Fls*!L!>JKgTgKs3Dy?iss7K=`61!CO zQFh(QYQpY~csO+ml3hf_iQg%77br9Yn}lVzKkg;+=ATsoXevcsu|;Be|8v%V_u zpbwLh$cIxsjfQw#;6Zzm98SfJ|IgvnTEabNN`aoj+iLJ|YA75|Jz|8zsfV4A$*Xaw zCG&7fbX@RoY8|1f>O!EIruymB9dI~xM~0oyo7oA83m;Cc&!h(f$P2%A9xP~K@4Wa* zeO#>Hqz&8Ehf{0yemI=Uc00-8lugecPT|fdJh}~pG$$6AYm6RFLBi`m{&AbWF8}vr zYHnhAeaOliN^Y-*+!VOf=f;}?{lD1$i~1C;jmm{7+0VG&K&D7nl=fm|TmEjLGN0Q6 z+`BnQ7ULk(Hbq;dfgn?|pI?acBuN?vyEOsGB6B1p)aCsa_DnJ4`9pkSvy@l4rEDZP z2V^0!%b-0d)$9ox@qE`mp{^M`&HJH{!&^-&jOc_?(-zVKRN@Csc5}2@pd8Qz{w5#{ zkzbyzHHf%mXmQoL_ipBiFF9tO<%SgLdz-TP!bSES>hiA?IEACTfRoiX&s;>APla= zIt2ZIA2iu5H_&oAt~v<_V=A!@K|j)$E%(uK2J%Qi7)ptC2>OY>YN*E@|K>I0$ zR$FN~8>O9qFglWf4oINiY59gMbQl!LKnLl|)>>M=>41_@!dOTK`b7fWPs=$m2Eq_X z2KrS3p@=}s&&!3s2_=kt#42n8X#ljQGf%cPz(5%A$UuJlpvi8HjR>XfKKPqZ!Z=5) zLQ1vx0YHtkT!1o9Kp5VLbqGT80BX~nmT&zFB>`bnBi1445Pkp!C;+^81^i7w7_o>| z2$Ei2-Wn@3*-Nkw2?zrfu?j&!{GiFcye}=^Lv>9+7@vrB2&$(qFGo27@cSr)1cYIU zScRYvegH~Db~M>bvAqZgqY?2Hf{sFoAZ%$Um*M|SKp2FGRR}tU9{|)q%jGB)1cb4N zScf3|H2}H-2LO~GpcW<|3^~Lq1pR>@0Q4j+Kimc-0b!&e)*kt&CFSD1?vhWEg2?#?4u?|5I z`ZBwcmTNzTl7KKm5bF?hn!e1ID6YpjlYlTVkb%xnP}^%rsnx7tAdCmZI;0fE51Q<@ z50g@RNeW6r3Bv%f4nZ;UGP~_8Qfjl%&L$v?{=+&1;ZH_NpOaF1Szjm#2!sBx4ncpD zm)UJeg+|*PXHo*fSU(2(M*^iusU>p^0bz(A1L4?*uhZT_N^L8-TQIT@>+tK&l9$=q zF%;A~4ke+40ex79Agn}6OGv4`d@z&*gmHXWhagEWY0I%fqrCzrBm%SC|KSHscDuo()V9OEBp{5@!#V^d z$;<3^(@Ckl$_ym|VQ3!KAqYDZDUmUz(YF5u{zX6-k%zAkbRItd=toj&J7T925C-C5 z9fAb%GP@o90otpPA^~Ci9Rtxm%f9k|1gyOV<%@tY?2ds%@-q9%krZ?twmbo0w4DTM zN#1F)uY8+=I-vp~APll&pi9Wh>?^lW(Dm~q5Q(j0pk@-No`SldA&8VnNFCO}uWN}z z2%6xk%Soy2HWf+&!bm!-L(rw`b59M&PI4SAW}9$Oj)LN5#a zO+Xj{hgArojhNlujujg1Eo_*##=tkMLeLfX0eCt@O6_g8K}jfKyc^abD4V>@?m*j1 zd;9wggkf&@4ngq1pX?4q3N_k0a9|Kh7~O`i5TwNq0Gdcj?Vb252?&GQuns{u;UH)Q zDYbo=rfZF{Y*>dNI&!l+cq!;^Y-K_TL)fqmL091ipmds)+Iv2Pl7KLB4eJopp1jQN zcpWLVeUV23!hkibLr@3uGMi2|8tr|02EsTs2I?q*W|LCeuZ)2(JdJ^_mOw=mGyr>^ zd>uxmG0-&<2o(kN-9YSl0>WT4tU{iy#SfZnN>HP{KLP(DAdEr7R|ulND*I~eSfDg` zA^c517VHutV7WC_yH(w zBc*nT14;tI_%f_R5UOMZogk(5VWdnz7*>XL2=*;-B+xoiYM&@zAPgB}pc^F6UJ4q9Bbt02 zMv5^|cL{_809yR1k?=PGVSpG`Ax}5r2Tk_1JxHm28YvPG#)V-Wf~fw^zBZSFhU5QD zKo|~&bqKl%KLDjXQfi-dLPdfiP%`fqLTy06jxW?S!A8B$O~#3+wRL-AP_%cbZR1?L_=~0>Th2 ztV2*A@-n+q2`RPD&xMkJFft445JVabzV0vuy@>KfKp2pPbqGS42A(>%B&GJHx1l5; zjKjh@1l>bkW_PBgb`lzb1cc#N40NvqB2uW)zJh&7Kp1t!Kz$_;DpZj1$#N55uoYI} z*WHI7fTwCwYNw)95K0(hg>?w(M_y)kj*?P4?OiAd2t%u|4nh6N%k1mP7}IE{Bbb0N zqKbj2uw`HWI00*CKFmNENX0+{$;<5P-yo&-)t{jxlrVmZf$o<;8!6~@{CWbyuqg(@ z(E-2idXyt*f>~?fZvw(-DXc=q2jd4#c9&+P)S7>Ql7KKs3jZMJ0rDxk3l%=CMQ$gI zjbfk&B@q4Jv~PIe--Hr|L@^LrIrw$?q}0yQLPtTS$W1|WQM(Wj20$^; zQ1UXn3uzOLc3vq1VcZh~VGG08b?rz>?R=n4DPg!1*5TKoDTE;UwOZSI@GtUp80Cbo z5JWl=K(AqiM*CK0_?v(*xCyHe^ca2s&<0X!-yRJm0bxuN)*(ph{SEkUp!AN+_}C$x zH)9oop1=|S%&riTQ1U*Av zen!MXqs^DwY2x?3Y6e2`KVuNduX{A z<%@u9){pbC4nZ%|m&FoqKg&Y5plZA<1+Pe;4{5m{yNOVGOXztB>yVPvvBlL`q0t`L z4Sy5RI|CYJDVRcE7Ds9M%ash2H}BiMScjCR(w7@M)AH9DP!dWDE8iS~bqJb9Uv7My zmNjzUEjm9~mV)ULh_s1DTZ^JYC@mRq`6+ydl#o0yym2Ef1M&p)?%czdV;zEK(w7@+ zX!+Z9P!dY-c|Oj=It0B+Uv6qf%foY^B%t?Gqt;*@f?lI9H{C(YBXZv@?SI1_26|lr zNdqE?{}Z9KY)*^}H>5O60+G(tXzQ^7323?d+a350L8v3)*KKms^60Zr63~j|8-xIY zX499ONSkQ1$Lbj9!+!T&gYOVzp`gtjY552K07B`bIhV$;xJW#UVPs@KlfRcbdw-~Ci4nahY z0W=jWG}^O=;co)^qM~CBRv~C1egM!Xv~1KvNkB!7M`vLjf~22rC;g<+CVpk0jrWug z>Ig!!11UAq^1t3t5=xt9TMDrbK}+aMM|WB#KZKHiHkaSq9_tWJ1G&n<$uPZGx zjAWptFNP;2Z80xEyqLj(~)loxI3lN8iu9s^Z;v#1E`5VVrK)RxlW-tO{x2HN)T z2)PMXNubT7Y?u8Y1G)RqZ8@a0S_0KlP+OEx!jtEH;EOnRUVNdA8$2aoOx zdf4Y?h}EqeC? zy^uFXZ%LHr8QkbNns*GYGM%@#jo(<2HzE>Ep)9k;+Fg9JV8H-W+B za2x(76!-G$J;gWMBek1uipP1E?yyt7fd(DR&|HB>hcgD(d3awo?Yzx52qibDo4Ee9 zSR_{644m4Q>YfVtV`Y;!MeMU(IbtXTUTwu`dwEf1omKA>kJ+5!pnA>uV~$AD=BV#2 z2uiTRkMQNJi^(WZOAf@#*lF11h6f<*a^nMhK+BmR`YRpjJ=v@mRuY%% zi7Afz*ePt3ZXwh;=P-z8iP_1OZ?P3y8-!K-ZR53zZj$11jb^TM2#kzsAib( zZ$+A#42LmiBu2bM9ZPzAB`oW~dnh)3Cy9$qh|A*A8c040gy7MYH6;lSb8hNH-tg2{ zLgQ1M6Q%3cvPg1H>O{5PZ^PSNBMz7BhKsI=xT1kaS5D}v^fum^jWLaIHO?JVg~HyZ zL?T^yhc_xtTYHB!Xi_m$llx;gMQtd%R8vJU|% zPE7Cs;$2;Ho#5C}ldE$0t*vQ5cj|?~!wFl)?NMyBY->srE=f$$K$H!$;0m5uDuU&~ zPGML5Dtmq0M6Td*DI$WS1+6=Hbl*zt0ln9aq&-3JUg}0z-DjS#qmRlDcT&-Nk{@o+ zW|4ahotz&AD)8vsnbaUy8*k@?tSmpk*!$HKh%Vpjn;~a*C>c>}irbg%bh$9b)0!~L zZs{1}#I!Z8vNCil^qQw<0}@^_xj`tHqSl4^8ztxNU?`w!$~{1lX}8KuJ|Sp|-XePR zm65{9*R28P$*IY(`S^=wBj?@ivq$8ShRw+O1}&eA5zxGqQ>3w7dme;bI}fV+CJKo? zLM81W4+r)QguctNibMwiDR^{VLX^oW@sUo`(5@asgj*kri(&n8d*IfgK{M~n?M=&} zT}Y0p86P3XsV)dHr3o<(9-1K3zi0`0>YtCQ5^uO0gJSZ?bD|HefskJnc#ZMVvw$Ex zy6UEUdebzvYr{eC<^Dw_LnjMF+`e03<7kF5dnOw;Wm% zwTq5O0iJ$iNV&rkGtMwc-F+dNPG^cth@0EPwR6t)+=RIEhEhkwCN9f0SPeKKhGPzH zL_Grp;nD4BN*HnkVc;Fy&^&6EU^7~(5*5*CVBTmG8C1{~CX=E5=SRFLuhlo!q>tww z=^gQL||JceN~MZLEv z6&NV7K_FD%l|E;SP&8Mqs0*CZCDq1|xr36iWG_&B0w}?w`}sl?Q(z=wTCv}S4kr^8 zxBldvEOh+CrX+P(e3K_O#dx;P5C@Yz9gW;CuM2QoFlP4=(yXtbX+aX`HL=@Iy&#-^ zNzJKPP?`!?RNfFU;|eaF^2#f?TouLrO__zLA)3SOWw?TF`eL!7zKz#jJM|r-SxFRt znJ1z?IcNYWG9_^UDN0I$$=E@xV@iS~KBI=>lA4)9{z0{>-TOnt1&T0F< z7-I_#RAd~NTCBO~CIpT>G{Q*i8l)0p@se}?7Ha1iMdMiiT#xEf(2ac$$4ynWZ=Mmu zbsJurgyP5ahEQtbqbbjHdHz1X(vfQvW)wXdv3m|f8`w;yrS~%Bre3ohmKp(@ zm)tE5X)w~TkRF${d&LN$V3g4!lo#kD&cmyR3vm)|tu#W!my)BtmIB9YeS+KGO$K5?-ZpWmUn@>zdgrqwmZbqBfWD2Vn|N$r(O;Qk!2V<*qLnb*JB;g zCJ;rHgwSHlM}XHj{{b0uY^F%n_SlTzxR42Q=EzGyh=z8+U9Cz84i5g2KnEUO2nl9t z6PMRZCc$mjK@tydCw0S&{`sbuBR1VrFvegE!O9I{Ja2EnR1HV&>}4{<<={a~&d?Jm z!=pQjUm=?`M5pQEJ+ok~vOO}LgK$7X`-z8qut@;Pnkye#9Hhhxp^6yNcq(P;kCIx?s_+r7* zs7a4H+UtxdhJ{*3|JjspP^l?;eR6d}gRtXowT>?OOIiY%16F0v0SPksJ>HZc?C`jV z)i!)XrBM7`FyYzgON+-~oY1(=vUb5xq`1oCD2Hp(A$UrbFdiX z@;cK>&>3!4uzCNK#39Hi)dsKy;vzd0yAODU8n3z?8wa0>#wwiq6DG2A8x`eQ+ODGb zm&_&7l!A}LURd{z%Nl&|NMXnWR$rdk?#dn7ClKUwB-$NvIvJ!m8z{k}3rjr8yUVaX zrB-SKSg8qPFdl6j>yWo?r|y0u5Okd#?XlM_hCGgf^(f9vmHPF`^x=~G`p1J}`Qk&# zq+Q70|Fob#Q?#uNR#UEBreBSQ*m+NxFF9GZkT5L%arkz4bdd}Fu87w~bli$@U4*%- z#;J$$n_G9s+_4!ki2pSP&;6vyR?HeF>fVIvT;A# zg^DZYH)>2aN}^3kf|VGiI}Z(u8idBh{4P{~pC>#eay$q@qGT5;oRSxR$STtrF{GQZ z_-nvArZbWdGwj8sIc&_Wc}1uzd^utE6;ATSB6G*Cos`hyI!$Sjbj+&?-^S^LwaMQ| z6r@sRTi^gnlP)|r0#fCqN2t4Sbo3!d^XIrWxc-R=?2up4u9B=pM3f;wH$zl69-eSnrrl4jU`0Fr-9 zH-_Tg+G&s&r*^7fHuLsMG$zXSN(nH`7Qkm^hGn^cDb+{gk&pHRd*wtwbsPLwUoI_B z;f`_Gq=32T^ndH^Zq@o47r>o>EIhin#ImeUAdg)vE$`^J1}GD!Mwt^?Q9xc!4^ckD zkH&?QqG0j-dCsLILEGIW*Crgc*aUeKFAmh0aGpbIl5jH4|HC>(IAN+FGsVFkhlN}HfhyxBZ`yq8 zLBA@w&Y_fVu5jdxfX60jw?}oYI8GNsfgC)#B+@1Fsp^|llqTkCs1polgQ|VA^x~VM zsp+<=dJCTTBt0%AtQ|)evuRR43KrwKU_qC^`nFpm`SFwzYR)xz3|1F3W2c#=`Zh+mDC62$<6y;)QcJ2uM_F z=7I1L9$gyi)Xf}85$)Ax+j_xNxmI;9^;^*(DIa1;@8|Q?vRxDriSbsFcfJGof zg0{J)(!^h>Xt1hR5GGERZR4^?ICs?}#vsYI;dDPQ+0$UGiy8}%gXac9m+v%)4|h^y zWJyhfkndMdqWNQqF_IS)0s;gfcT1+rbOQn=ce*a9Y~`f7PJ)bHWQ%D zgkFI{LX#rR1QJfpf9-Q}PS#%Qbe~BF|Nrm%fAW3N=Ip)K`u)~gd+le=yjiRa-MQkt zQh86|{bhyN-1wRs|C;sf>DgYbgtP0%MYeaQrgWbXkLJp+FF7;V*ll;7`8Gv0kcD4Om* z+v`6Y-lS~qJ=LB)B@wC2PM+8qOdESop~jGcww~HY8}hB7Hzr;Yy=V^AD{pv9Te4E# zdO9{}Z9Sz+&w`gj@ZZ5Z0Wh2!i%~4a1OoWPef(C*SC+W<2C0@kOX08AfGpu9d~^6On6c3k&*$1deh>K=sPFB zob{INsjT>;0EZZY>i+{)s7pRtd<)?|L8;M`Uj~WwSxWndZHab%g}ra_ z%5pe7fBcWy=Kf4NzWI?@b;{;wFe|0(nx3~I^UJyyZQ$Ji^n>_c~HhyBVIKCV6CIqYst zmsTRhYP@l5D%N0D42`d26r_!P33!EO48|#V+fsC&*8iAakM#c`G~Yfg>)TrPr*r+` zVjd;~0Z(V+&4uE$%z}9YhdH`U51VhEy8qR++S1oB`NiL>Z^C9$XmdscrIiyu;v`Vq zOPSu<@}E~|qwn5u=rOS=qkn0Q~OYNqhA?6j#@mYvD?5Pv4!T@@#lDR47z=LWHZ4$Ap!C z0CM2dev}XhCN^VX6(|(bBlBL(m8aaS`Ofrh=$jge4@^5X>y>t8qs8hdF6ybY`gY@WMIR# zd9mccb@4!;3*~+|chRGbu}{p;EMtqQxRKu)9VuUb_t&H6Y|#>A+4t<{s+Gjc_x&)Z zY01IKsaT<6|J4y8wZ3j>KUR`z3@Ew0dH-g?xmx!H@E-a{y1x)AzP#}4QV_k^d}Bv7 z7a3Z5WC=DWVXLfAi`u6JV%<=mRJKnv#7l7rZ)^;k6Ta&GzuT)V|J`8PD~@)}nZPxR zme+5RboojX8`c$x-g4V;k4(4rKO7Au=Ol06;q|4&{H0LM z*)byAR^Op*Kb{MQ1EMpH>E!1h)G`-`J^A2wB2zDXD645}jj(`it^~%Ym-Oi<`vdx%y(Qy}iy=;51ez@EW-K zo6jEJ2aC0R2(wy42hA+eF24=`$() zMgu3@n=5$3$(dc7@|rjwOX%^&+n7aiw&ZZu-KXJkqb450)~|(AymX4@3{?3|692Fv?}P;2A4iii-ZEp zR{GKzlzX$2tHtOXdp^GuxLF%mkO8FUtHdm@;9b~ zBJtLDnA%V0BEkGI^JQs|-?>iPd=D%z=WV(xpKH9_Okch+mGV}%^i=Y?*kSUg8t=GV zgnV&^X$0dC#Nu$KcxL#b{wld*9tOKt1Y@s|GjK&5|iWau3(v=PpEADR?p$DK4*> z9rB*3usE3JEBkgVo|Z=kg@0fCnZd5?hQ(8hq0n4-2dbwl*w{nDfJG{9M2C@rYv!iO zD2O)v^m=@#5QK%J7UZeFC@QcNV)_>A`>)kD#osBb>uY$ZUfgJd>pKHh? zGl_80ypDa(`D?Ys7vN0Yb65QUKclD4ZA>Fx1&LOY{(K#wohsm>Sjb4yFNXJNgMI#T zVK7pRb!8q38dEeYNyn3ku)X0e<|S5={(=n=g+fKVo$=V|t&jgr^FH-Al%x}d%VBc8 zYFB4xR_vfv3XOTI5PC5PgHQW;b(CnuufxtEoL){^q;>q#n~Ht&qq%{TZuh37X??Pm zv?tei?sUG5(eARaC^nA|UU>ciZQvnaFrHqWn`tk|694J#ZT1lETqX1rnN^P_6kKuHCe*|I<_roY!be3 z^v|^8Zr6J+J|uMYaXtZW!+K`XQrQHcqM~W8cbpi`(w)3gvbrX6ZMl zQ#*>md!go@s0aS=p_SH-0lik15Z)vU0@%5Un*HIPvmjG0`5ev?x2_i_>2S*QY$<4* zG8GGXdCScTx=Ren)_`XW;&G89X2C|&*Wi@t8=0=mz_zc)!usszzFH2VtR_yGk_G9o z&l)R47a?X6m%JjzMqcuc6W-SDIzd{6-7*xnPMN%&c0Lf}o#j!{kBLa}w;$(;EZe&G zY`E)uHa=x~E&9m~;b>5Q{g0lE$2euGCha-9Sh!o$4guL(*I70Z%P@PWtH3WGa^i28 zO=~^o{-fcP>1eM%xpYD@T7Xlg30dPdAUb8z^@AH{{-WntHW9*!3*i;{@q&@k-aX|I zIAuB&yYQ;Qnbs*&MsM695)&{h0gI&_5ea{lC}ife$6Mh#|Qwn4ohD@LbAc&1J;1Ac~KhvCdl-HB>1$8RUMHD z8|@YGeqIsWlf9=%w z^gNfZ=v6yTP5?F5_#t{Cv9&msO126$@mJp3tG)Wx)%fh8`@icW2+Y>)Ye!p=#BXqk zu*ff(i~Ofxk^hXA+;(>*l)i40CSP7I))MwB<%L8mmc{)TYYJ_RQX*FDDYUo=mnfQp zXcyds`wyH{xBfbx(0x5OrgX7YR7ez_l(vd2fnugb3;`Ens$%;U{*2PPz1sRe;DL1M zGxa|vR4NA=Q#LW>7HHwqF18Ywz+x5bFunE4$M@4$2UZ0og z)dJ$|ED^0Xwj;$htTn2LgN4R80NG81hI#9H!JBa7Ifo3eyZg2J5+z&8*f%Z2O*kuj z#mtH!&6_9CFRcBp*7yCcY`pJ#`I>jbwJCBjCY+FOT3Bh}azmz-e_^B&mqCp@xn=Do z+WJedEnhomPcFG(***TCARWQi{|*M2LKJ-3zhj7$raCaCESN`6PanNk+xl@Vb(K~> zdg@GfPB5;U5B&7LNMTO$tyTX)-m7fwpuim__)l8Tz_x5eEC^s<_B$|XO-Lu^FFpI^ zJ@Nef>V3EPv&P>G%vBqwl47MUAj7BK!v(`VH1Ym>7+)8_U+CzL`u#h)*W@C>^umWd zXwJHETrp7`T)bnT(*x%fsaRZ}*SP8}Lc)sQDTWGUa5$x`|5=>-|1e{Xw)_v$5y+y| zo%NB)=j*h0F*krfYY#vqA}LXV2ctn_Tv~blX6?cA<@2@v4dF_Ba8a(JrNy1}c)Zs5 zb&Qx0S;_rMDi#KO^JMap|I)fH{f)1;=hKlw*T38u^omK0`3tRq;%XG9olZi+;u(2cHmDl8Pz1moliwT9*@ut~u?Wr7uS|Vp`Ug`_ruTw9&U%Cl1 zH1t_v0{C0Fp0dY|1Tj0bqSwbo!X=7|xh8+*h_|)ZkC5gl4=zhaqKAwczXs-nn=VhK z=9HhgFBF-PeSLh&SGv|R@)!}l)-ZI9t-_vv!%jldyptV$_qM&-`?pO+!_cigFV~MQ zJY9|OodFW9iB+d95!pdc8fLg`WvjOO!=6<9!Lh~sE{HPYl3RAoERJ{CPyf(0Jg`Sa4zY;Yxl8Jz|Y&pG-5G@j7=6L?(^V7BM*Wn~N^wB+) z(1z#(>5}-1vf*0e*F$15X-WK^jS_jMCjP!6{H3Y+TIu4ScZP$l`qT@9fs`?m-uksv zIS9GD7SiY0+XF(P75mpoR)hge%o)EZdg&W0;18a|9~O?h^+3$t1AAx7S4*Y7w|-NI z&V`>6mow$Y#1Z{ito{2OC;YCoen|50ch_obuEpe+o?!h3A)}+;Ac()2CVCNk+JC?$ zj%}-*0?enkerB##Iw$B4|DdX0efGRksyf(THuxj;{!Dsc@qMwxeET->n#C92lJ?D6d~ZHkf!_|# zfWISfp}lYu{nhf{j||z;NI4F!ff#Xn*&92w;%mWdyf|1ZOnEDv@rl2I3u_7E7$1&u zY8A9`Cj4hfol!?@MlM)D{Bh`ujUnghsZVIzrpmc{;4Aq;JiB6Utt&8laB^R$khBjN zX6ssu*fWD!UHeQfHBtY${^L(;oBy2hmJ^$wspPUxJslADNX;<^8jZ0V!)Yyk|G(z~ zuqtfePilQ}Qp-!;mO18w)|V!=yjcGRW$0Ur^o^FmeElF)dHf`p+2YnNdK4HS?yzJN zGg8%*9=RRTY5-;=FaxGXA&azQdSpt}me?b#3~8lh%$XzMBk2*&6eNy?h&rg{Q^y<% z+c%PXTP6uNNj!-SBzc&jyF*b^&_e@FsYhzc?1P&E9|YgzgIZI%a#PDb!|FJMLEW_b zX(})y7|jGB@d$0oJE*J&SwoG1^Fe}~5&p`Y#rb7`14zVud!rxr< zAozwe2_tZDhqH*~lTMwj0N+AV?Uv z#0`pMhrt6gpn^!Zr^Ry9rfh?oIt`QzGqQ%-kXSMRmIN_AA6Viddg$!(AEXC@hEQU* z8NS_6O{dN&kNpR(hXp!eKz)WS+f13zgDANM8LrIqAVChc!4Tc2{E{rWDYFeOZk7*< zd=s-mv5I_C{ux1_^Q49tG?}=F-6TPb&j=EitcNgg$1LGe`9Lls3`{-9yR37{deAo- zQ!*bg457q2hAazxV;CfSEYJxhH7<-2^dQOZos(u}A`3B4bLByUV7Mm%g&vl;FhmMU z_y;Mb#d1@Q8Nr|qBOal}*y&@$0E z zNv3vl(<6Jt#cq-wo&-=a6+|M87Gv@w8iiP=!IE8*Iu2iINV&`<-nea0$N_m|DXNDs zpv5l!#B`aFkyeL3|A8eg83O<&lo&4AHs~8AudHQp zJl$*~V@BVokuf48+Y?Hx{sHn~0my?4Np`V~f)Cdt&vOo(kBc(}AH<*;Juc29-J}`Y zG!^K?BTNrsN6Vb`h#M!PTE2D8(c-p}9B55(Vm_5+;crY2?KpCO_niFrRapRk+EcR|ACUgH5g`D4?;wJ%{nMaWl$frcF}{h(snFp z+&Ydd3w@&)TmpRre3)&p$*Ccm;xmE({?nzQZp@P{#4mU)CYzg z$USC6%QCE$0%m;NY;%Ae3WA0Q*#XLu`7pqQ5_LXq4P^=pJkU`%ntV`erbktV1i6kJ zBjCeqBafDaWj++#DZdb+bbu$<2`Ge2`>Uf2zp4@M+FAh#d<~ z3RqAYc72Re?MxD3?zWL|VN0p~1BP*Nic%KRO7*Z2noy$kiEWT%>I30SWO6F#1LMPk zMglbgJ}wCovun~nE|{@oW;Yl)6=rqAEZIiZ(^MZ}aWnkDARcKlZko{Z|I!jhbWS>* z!Iq^2m1VMj&^LPtBR#{`IB-2IXapZckYNTiJ~1NKX&nhUwJa>z%(Mt1B~Ls;i!lRr z8M6(BF``o^w}neod6JgFHps}X{*)_YOHm`c@Nu(^1d8a9${3#!BreR3 z@^MT8l2b5B2y}#!%96_fFeA}~tYKpidgL_5aH(X;Wr&?a(75qY$imEBr(2wlgiDr% zzd2yW#b6jo&ajp_( zl`Of8J6aMn0zM79IUdBzjuw27VrDm~jANFJB@@Ko%u!X6B^TpwPRSEBr5%@egci$m z-E5=aLp(zJwVQ1a17>8T)nO(rFr(ILg0dm;H`+lJ^thz?+RgIZI}NCtxgU_Fibw^0mY@X zjns|@JA&b!2qalptJ5frBvZh`KMGk`va4<6%ETkg*GQ`qW>icu6FtrJ5f(SHEc}fE z=6ocG+@GkJ%2Mi~d^lz#S#2BKg(wEmIbE`|N{^~cinkFa0ZEq3hZ)9=k3tsqh#BY- zL6XL(EpclolbXt93^OivpOGO3Y79aTfsbyiwP9ro6G9h9NFum{Dt1h7dUf4M}4RGit3a6POVU zTA47Q#j>68Hxo0GtR^@DK0MH6@)8EY2R%^pVgAIH8WA5i%!q-zeeBU2KCxp~)qgu#JMCs?3EC$E?yLIn0F* z_a|yi{fQZ<%LE?@;s>Wk!Uu-oL56Cuz>q-6w!!T7lLSy}#vf@_#{qLDVZbmW$u4CQ zKCXvuE)o7JJxH>HZDc{54{EKp8^eqx19?dh?=(`e+|(@qQB!JU&IehF@^RCHnle4i zKM2%_mN3{94oOX5Mye<-+`3UFo&O*1^!|Uj9r2bEpQbT5{aFFxEr->Dj0-cT&croo z85oUFYS_jJ*YNd-PJm3|;4p#S+0>o@YR!y{w9+!6M|P1Km+Ub7jkbe8Ck&`=+`5@E=1kJl>2A zjg!$Wr+;jp@pp72d04YUunSI#`na_k2Y09xv2L7DWzd5VIbg=c6jXD3T=Ynw2m>02 z*iul2B)cXr+_cHTg?CU%F_ugLyYO-Gr%aIOkuZ>6<8B*db&45lrDco{w+)g^;|4RJ z0VtO_CIZBm;=-+2nbeK}CX`$-BX&%WR0evuQ&0^xf5Hbba0qn50B}k5i7Xid`sS1b zP_a87RGDIED$qF}Bw1}YH$9S|ru@U=2Ah=yjpP8%M=Iu+QL#G62m=a(V@8r`WfdR7 zfcoHr(?bVJZX4u5W*fwgl}YVH=X6=dsAMV17+~QdMSW~_hFQ^$>v7>D@mD1X;Y@|% z=J+5%4v9-9Pdw60d^Qz;E}aIGrx=>kBYT9(!sK0TBUi={oo39)>aIy0ONIbMO(|gJ z9~Of|7795kOR0yh0Jt86l64xSm6b{D9FqX5!7wAq>M{WA7G$z4q?KVtH65JEoPsT@ zmW91V`LIC$!1%a0lk<`IV|K2XRRl>Iqq5|t9J34xeWQXnABC@l9#l+a$)NBzGu{+U znLmfGhcKWq#chLN(8^dVEh8Rrh;_`E*#>E4Ws-jwA8s3|KGxcdf50%j(;$?TKhYy& zklHc8gp!)S$_Fk1_<`}^L4)Xm-I^IWWxEO6NXEj&?n@X&Q;u0tnJ`fMn)y@4jK9$U zBp&(4>5)A`^>Eur{=^K_!O8e=+aRl}WnrzCDfWapXiZoBemnslqz#RQn9LE%NX4B95^3l8(A^_)}(&~X46>s_y31a zl79bhzyDu04Ejc$L&A~9h#Mc|J{IV5N}$EEDTE${EL9m{K=ruz8pBXyAkpXEago(+ z-x)6ib;8G^}js*?pgCx7y2Gw+$@=1zEz{e#3 z2?J_N;sXSV+Kt%;yJ$p%j$5Ehpqk>tF-uNSktZIZc5}n5(j%1#K8T>Z9z|usN7h4= znoHcMWU0zT=ajcOXdvO%P&RBn0GK-kwPt!$Ws+?$A|pGxI7P0Hzp44Kpy7OET!;Y; z4B2ijflfREvXEqEHwgp7jGIzWZhVkrih=8qE2D1^2Xcf_S=b{^qusE{yYLa1Rk9Ri zgaP$|i$7(8Dhz}{vw9E%>T9gkq0e)Fs$?PWs`WS~0o0lj#Fk=Z2$91GOM|BIgaPA^Btsdp2G!%@Ou|4d%dnKVaWbamiqE!)cbqWX#F!E=!3jNZ z;e;5PdQekJ5^HszVIn~eu_MdE-zZ=gKHN5vVl25yJ}eH0FALXn;)ASi+GhBeQBBH+ zM47uk6|yh`ss{|iJI(>hk~5*jNkaL>BNV0Hc_drKYDS6@%hgip|sGVg);+jm49AQpL z9a)3vK@3=#)Q<7taifwYmm$dxhH=Tv*cyOYAq&@ZFbtu@_{*5lHwVmE6$RziX^>>v zR2Iu-1B3yM4cwYymdjk6Nj##Kg(WvR3UNLP+qhuHFeqT+5w+di^e6(5^FfuHOk7Rz z5txxG%Ad$WHPxL!jL`CS2CF}8SuzIVktX9tmW7#9d|(*DfY}ByXr_#JPMVo9%4Yn- z0}w%U=YzVCd!Qr9lss_}Eyh--Wz06%6cm)3eBN3EA z$wqeJ!)=2kHxq!2kDEVbJ?Iv&lbi`h9OHC*h@6)~W`Yp&zE%sgd1=$nJx zFarw8&38z$t8HXGB>L3x)|74JfD#N`{3(&ZDAk!3*L0nE@&tjjs>u^QbSC|QB{f-( z=(sG%6o$mD)qEIW9%RGUgKE;`!GcEcQPh{?M#YCYlQ3u|Ze%^oHn`X|$S?!y1A$pZ z9)%<5p+P3Yz=E9gNV0HKih)EJEtZ?Q*ha35zq#^h$~IUsCC~V<7{sQd0jnw_X^b}I zdSo9U&r{4YAHu*)>ar~Kjmc8!k;(utp~PgV^eD=B=akdf2=pKZ4u)xtk0eX>fr~SV zi<;r%7U&YFrucBok}R1ZVL&GxxblbsT`)kAqSnLW2Eza&H^m2Ob)UjZm9bVzp7C+> zCz4EMDfP%brpcKdmaGoWdJ;e=S$$-6RwlPYHJv)AJoYnLs5L8-^sqo@P8mLbT$B1o z=#fD2P9x#Mm8mSb%q7s>_#jYJ53>y+h?+XhE@eLGn|lBv$rO|upQiLkl`*^h@ey}S z#_LBrq4K+b*DOYC_7qR+CD=U+1gS!yL zS5E>eS*kKw5Bg>VjbMOUQ{C7atW4341$t9KhP8s-Bt0&fwmCjXGWCy}9(j_I28#n@7;OwkSi6G{x19AxNQvwGx}W>b6+1B#D1lk-8X8D<3^?o3ILTc<&l zT`^@l1e@X`Fe6oJSy(b{ zMZW((;ZO8anwvo^Ozbl>p+kb2rOLoD4&^j26 z&}FhvYgVS<%7T;71zAWk#f90a%eWrdeGD_w%E}}@E{+y_RI(IhmZSIMOwxta{IR8) z9KDH0m>$G{mhl9EOh+p>#fSM*CWyZ=J#4v#7&zGm6;oMCJ#vJ(@5>yh9?FNu4U)`) zSyhI-Wf+FIh{=-b6Wb{C0ARNuLz0;fkYrlMIwwhEP#?8Ea6T;1o8zOv;1cK~;KOV~ z9EMEZq<=;bfSiv6Lx#xp$d!>`&TFn2vjax($Q`ABAU@h5gs zlYF>85d$VmRYm~|O2e)%J50rgc*K2{B;mr6nST&kd-j4_tLtM1%x*}E!%jP)#Pp!n z>N1wNWKb?arnHTO!A%bxX=E7q8|CAc1dxj$*~k-SDof_W107KUhat&S5J}6l*sXIa z^fc8++-xK3LEoGPN~zezpDKsRWyB-yofBDI-DzY!0GM|gAxm638P{^wM|O%!CvqG7 zt(h{R%O%hxW8z3s>tTW85@=X54MNP$X~aViO+Lu-YFSvaL-NAKu7QRbFxwzRu7N{5 z0tTI^6P<(QFKX=cVvn_QA{JqRMz)9{G&{{IEi`M>%8f7(wdQhZLQ!va}G8N>;N75rOD~u%* z#NV1+uDRF-OQz&q_%vl3r5<@mbr=kBYZ{-VOkq<1Oeir~s5L7??xSTS!W@?J7$uFH z;g^9k2?Odgr7XmbVqnfBl$z3mEal`(1j8XSV+PdDqznmi(t}{QcN$5StcTeK7gIwD z|0rb1O`GbR#3Rf$Nb86KkVM!&PLJH}K@Vc!? zQ>MgZsr0DI5Ie&#gwla`PMHrgj2j;bmn=)Rn+SA?An7L5mbf)-Dwi?LxY&I*h8UiJ{&VLE42;L zBS#q3BQVRd6a;Zi+RlZ~Nc12?4g){8jZ~jtR+UM10KkNj+Rj9eBug$6fDsI*B!F5| zJIhV6WGa>!#*GgGrRK_6@Y|+8nX=1#Wu3T2m`eqVH*WORhbJPj#;Hg5j2bs_a|yi z{fQZ{GN~Qo)0`ej7WN1@05LcB7}QfV+`1Y6Fu;P6q#H|Sfq`n$GUiO?6f9Y7XI#_4 zHn@0XGct(}vklQBdxY}Gm1!BX4XKP^IPsB+<)$u}aad7MP5H-74+?FjN4+d_vkj80 z4s^yxU`D2+xMV}(Z!|bbw|ClO7``4OGM7$3*fCj(KH_3G><*`yCz4F< z#(XWy!mg!&1s{n&X^OOtsIw7|P#A8uK@cf<%+6uC=HgFG7eJ}>AX6AqctVNclIjyZ zav9RM>e7d;ADG6paVVL)}u_3<}`0ckye z;en2tQu3S+LP_~F7wD287d{*_YE3cYE=0>XA4J|EZg9v^S#lX+;BFg9u`COJqk;$n z6SGQ|stj3?>Jgn&o~)_)W2;kHgbzYw1UjL_^hotpvg9%rG=h&x7P5vKgG->h@saf) zL`?=@b9|c7Ll`vaPwWOTq@-9bBMj7fm_M;(<}k^~E__`4snmnmInAV{VmCb!W~`MO zhVkLHk!7K8RF+DQV-i4=n5<#zVSouGwLftbsWE^alEzs5;qyT?9Y$!v0Q5-po5D;g zOM=|^$Ucyox&@$Ane#!C)&1H{k0i*A4+7O>f|MP=`5?&-{voP7` z7XC&B5eC!;ADkXKP&VaH%#L+7WJSZ7gc7TdT+~b%11wymk|p6HK2WsddgN^zhFR8w zBvW4tXQE;%s5w4JkZYh5k5F91HWHtv0??(?VDc10b9!WtP+2k`=1&ZR`amw@e2~>g zl(;ZNhb1$|EI9?YX5TE_SYJ&O7Vst24&LXO#a_lLEUeWW zr4`N7B^Tj z3mRFEnh&>)iVu=Z4a5AyF{9QDGt$b+q;{fn%9PY`gDpid%VmUt>&#d7rz{J9qkO^F^8%uTy{ze_( z!iQr~7^5Ib65WjDEu8I5z5^&phYZm6b{qft|*goj!) zK2n*$EXl$qcbci8)(kTeM9Y|MuvVu*N2Nz9b4hAMj~spOwn3`Ym5CnOp=7hVVMbc1 zA!R`%#Zib{4{{N$j3v`DmhfESMkR}sAw))?yLB4bHmEhlEb9TljD+knxxTVY#(=&V z+1|w|L=S4M?u*QsoX@ajVRjBP8w}AY2_UPhj3TWhQl%EJYb%Kz+cIt)v*ERFfwW)~p`HfcgNN++k9{{i%|L*s1k6 zCIQr%62z8bWeAbO2u)n1#--FF;S+|D6cZOQJ*YJ+LxLOvoiJehkz^>7WXXJ7oJkm{ zWnswQx9s&3@KISe57J*DTlbh3|#c6`S2uw7&Jqk@nJDIe9X8e z#lYf?$Bn{}STeO6Vc_mhWGO03r3U~r64>3%$dcPJXA(-(mbi5@Wn7OuVFpIpw(wrR$~#!Jt7yB8(Qx@hNPhkR?~fHHS5OL8em^ zRO*pE;*``81Ey!#7)XRU=~2m&%Mc>!fO--@HC!;GnpBo-Hx}rGl8YY1fa>9yRsuyr z&P9*Z6fP=o>CLakl&NPL)WWI=)fl1%wyl(bCP1~pZK;(QQFXo>`lC;*8^)DXFC zWKifECC~XF1`hs|31YLl*v%;kAXO9>maHa^YZ`zBB_x^QQk5Y~(RRcmrfm*T7PcbQ z1J2}5k#Qld%x;P@mIMf;W@KTjI|Vv|;m!xKQ~O#3I+9EQkAM%ejZ6@0ZITb~oQMH+ zK4CzMF{ow(5NU04Lz&w~k|l$}mNI9{s50flZG%FARwjBxO>yJo;Fd2O_LTS|I;7V) zB`+kM#txS58ic}4h}MD2!dfX`$3%d%()ub{av2-(NZ7gXK~@9|2$4e~U`~N2r}KDEB}|E~20~A5_`FpDKbfDE!UIKa$;q zZ6w9GHN}i;(lW+}$BjylqKtUN$u@`*#Wj3B0GN@$t<}j%Wg9HT2pU3(VMf+qWeTp$ znQnY!SxBo>5rnZqQ6OS+$472n= zmW993;1nKF(B+-e)Pvvu|B+W(^!Fx2VWb}=?gi-j(>J~79dmB&d2tT_cNYB2Ftn+e zKQ?p>>+wNSRWKUp!%_`3^~b2H%VR9ntjgfx$4szU+u<=6Y$9e=m5&X7ndUKJSkaE3 zIbZ-^LodP@O#kfnF}9>xmEppV1uo+qcB6()|8~Rq!X=2H)q)G(FAfE2WD8TUvoeOE z=}^HG44~Ya2lki?W=1vzH~W|iW(LNHktL19wjZNosg^65b>RW;bhtKBW zv9Ox)>x5Cg?@Vf>4h-3>ZXm=a!jF;dT^@76MguO`e9QzpJmvv!xd|&9hJ}%dTxw&u zmNL>85A5+j0PzPJD#M&!Ft-`jz~ufv2@?zedwBScC%M@Y!UeOa9!5Mc81kIKQYJ94 zszxD)vERpXRo;b6|2Xuv#t0)Y7~4#vzW?Z6lsXVL7cUcQy41#`hXIep;C{)-g8%H1(IsH2upSGFo|rJ+FY>dVHSVpV+Uv?Wt;lsPPbnS^)Nxo z+^oy0GPtG$2G`{=wgbk%^cjWwtBuTK3Bmos1%t4pq>qP=WL=Rr4jDCZ{^M5OFtH&K z`!&2sK#Yl18?&<%?#IgnvP*3)c)u7}R^xC@YsxDo^O*qyD?6~qvNJ?iW^gSG1TKDz z++jT)IW%H-BcourmMaOaVLV1~t=br_`ONs=CLc4w!^{zlP-POBI}8_LJD3({a1ZP; zCTzKq7#KfBaPi}j=LjZYzx-sxD11fgIP{>@rT56G14%X=Z2-qotjGMB2?CKetD29Q z;Qc=4f=vue@P45YmzXf*G+~d*NUG^0hRfwKhHF+eaWTQ>V`K+h+u<=6+$=PfBfu~) zqVVqF01btTv|3^0Z0jPz<}(HtKOTN<4A)Y&9}g=YGkr83M3!+tTz`g|n{H!Ot;gJL z4%QWpX!bD|yk88$@hqbDTbox^LKCJC+2g|p-FfhLjdO8U-B1Mtv1IcZ12K%p$o5uk zL$Jp?>e_8rOdRzI0Q1lP{%L`KTHv1+_@@Q_X@P%Q;GY(7wZJ&2A{yXX*U2pj^)PYa?ETiQy*TMSfnCm93 zYH2xW^q98LspBV(n$~*qM>Nmr69Qq+sj;h1`>5{8e0buh>G=n*3j};u?VP+P7uBz? ziyTt`k)w58e;-8779wFrbuK*n7x*W9+HVlgCV_^Q@du5LwTx+tgbtZFYUA|QwO`t+ zJ@UnJ$#Xbp+%b4oI(_e^m=+1g9$Ejza=A2haB^=kmRol&CaBq12Wq)BYzx{NPzbrLOO9`gm_me|c5S z>klS(PEJ)S;WMYyX|xE-TnaMb(|)5NU9!yRwrD&zN+{6pKY6XT{L_PJ?}Za*3CW$E z-dh%3Tg=zyhIdXbmAn(r4I7A0Y569|yaHswr~M{Jnfc`7LgxJ^AFjKW^fvW2d*?Q_#GN3kc*R+pny~vv=>lrn776qD(v=DPC1)evklp5eS1%`z;jY zn6_%|6B9?>Hof)H8*kG#-dNJko;XcdGNA8Xb+Rzz?U}3nX^-t2=oT$s1S#-o_X}y0 z>fIpMGP*4vH(YO7$U0@st{2IuCPy0`VK->ku zhI7G&(_3%s*Sh>$^xoo63sv9xiQ2_B%I%#6{puxEPiOz4Okc55ySvW&DCoa7(pwF| zB>EHiGxiGEcRaLL>v=d;PTy$6P)S?Sb7`_t2+mxT36#ng+MzFyTGZ!Kq+{FSdFZUu zTA%i9*S1}s$wsz)H(pI`yQ<`Y(44;YYg4lNRp1#ttlORu08v#*NtBuO*Yo!ByWH)O}MIX7bVG8B$DIC~p%&EeBOv#E9i z+L6DGdONmfqaXW1C6`=VZ98&LBr&M%x;XgA;91ec`O)QH8tMx6 zXC|i-mDmrgzS;_Qu`>F6L!;CU#=`b2SRbT$FNAp&OZj#zJ~SSwUJLLC&#G2pe_wp; zpeM6o@gc=rM2r<4UsuVt3kKY6NJr^$Y+JElbeI?W_GyF3a;7l&XtCzmxh~kLuQiM? z2&1PM(n9&59o=03`0F4FKJ5!_z;>4z+ZHM0jL>-csAIG_+m6CEcxj-cHyoc|UF!9A zrl$-}4p-uH*I3pScMMxD1BviyU&JLtXRMmJ_t8IS<^B!jt{wgBYlYyvyEf~_;A0NQ z(SIox68?RWpvOOT=j7g6r8?b;YGIAbK`?yUZ#NWJ{Rjq&F>PU^AH6XCE$yhc)6vtj z#cPh*<4JkZBqcj}PpAT;=UbC%cV6}NQmV7M^T*2y;e?$}M5ec5?hYpk@mvHryan@= zg~w>s^$VwstX7M;Mogao^1liq;M4v~Axn;F7@n%e4CRHjLt0-|^Tzw$ zOT>0T^mXsbRWHu=ta_EqxRv!rhC%DXg#v2EBlHQ(fA@DUfC*>N`2g(QTC+%>NFZ*1F&?nz#GePH(k) zYc79-xBD-;hPlV9wVds(5HjsuLMU_&ku|L3eYbdKm=@+dB6%0h-u>brB<~#<^U$_x zF`UV~gwzMT0T+eU6jda!L%J{CnM(nZHSd}KJ<^oOd~oeOb@Nukc-XN2NS+7pRhnNAF=zSNE?;~{-X{}E_ z{EpUJDg{%C-hH*`j_5n3bQuj-85sWbGuOgdP3_(n&rErPvj@YzSgbLYi=~8RmSs*H z^h(8C<~{l>Eb}%#D=qW3zG<#bUe5X(W)X?{n;;54?aR5y(QToa(djQa{0i;TkEfE| zCxv2DEuMV}32H3O&B%*T2w zl?_W)`(aH0!-^iCXV1cfNNbq-9Y+bX>G*u3`#pZzI_;^`u+&wHHkeDRF5P>vJv|rU zY^AhST;S-oL>OpKZ@uh}u-4O;&BS{mq1f$)&&05@<{N=@?_Cd75-@PToGzE{v?Ez8 z0NI5^Egzv_Dh_Xm_=-ZFw;*oZkB6)8}Yg zKacHL2=wOT8+s2d2Pcer5f)vK{PN98<-*;NJC-fE+#gGv+WG2P*`Q{QRNCTkx70_f z*hR(7+dV1Y_3Ix^R>OmXlV=37DSH&o0vanAXqiLsW>AY`Gaq4Ns zVA=;G1Kvx^*PawE5L2;(MXt6c48NBc8ly@qd65w+Yp;1id*m89`EPnUUMOaJs(Qei zf(d&*pE%EsK`~*lGGIs&3t>IBEvjE44EOZIup006mm=H3(5;{QeK{?9x-nOOtzYX+ zRCcV|;_dYJi*-hfM7U*$FgFBjofSn#6kBKKysa%iCyNKIfki*Gw$4(WjpQ^B5L;`P zHBK^%A#)hG|56wRmR~9j0~^mT#$z+Wx6dj2HP}Ynlg~vOdnjV$7%gDN%@S*g5%SZ?^QudOVD(foe?l5Glmkm|Sa!l`<#n(H7@{;AyQ7f8lMd z_xvucYijR#`ATiWn$K&gGKya?ZY_DrUNLU1@}8mvQ;lr$CD3sR$c9h*IzxxGpfzGH z95=I6{OO~%Xj_je;QqREvKB7ZHZ05xXeB$J2-{lO{tsMUBxZ!zmdAggJ@pGLtZ-5^ zQW;vc-tW^zYOW<_+GePMNXuP+G(<{ffVl>&0AYo8^t0OW>GXz+e;b(}nD|Vmv`JNs z7ZUcQP}tQP_SOr5FnWk(iq$*%#4}^qgUt=G0!*4ac2B>fmA1W;?R)O+YGsj~sS`e} z-1}@XRuq|9^P@~Hrd}5CM}$myVyVb4>AN$rR}uAO=3| z8=M5z#9DQF>-cB1qy9JDcl!TC=6WV<@-=oAZ$B-boa5V93L~4@WprCIWUkci*rfGr!6>&r6-~y5 z?*2|WTLR*R2e7s{N?Vx8WG9UJ35-|^ zx9?6A2m5|`UOA9SJn~XF9@(>V^5sF#jx~=htA^&sA3XW5KJT3ObIE9^F_r!=0AOYP zjT``ML5IvJx#!F4w8j7G^J?=JUz#t5uQjqhY*_ta@hRD^RNvw+6+?;nV#BKB>#~Qx zJs{nR^?o6}9_yB`6XKZ_>!I<`ndXfbUU}s=T59)iy83qiPdI-=>ec)FsdFc;5&L*$ zIH7^#s)AlSyfMcU+lAKRB`G8tk!~-DU=J%AGxqVGo(%hV+dhlOfm;VY8_kF3Bv*XD z+}S@kITb2|@3LY@^q|W?8hqLx7hZ=2CTiBf-Iw|ck-1aXT;}V_ z!l0OsC-U~7I0leg$?7RXzLfyL60mEU*A3kFA31ZQw*1H;d>**S>#48l1D##Z)(6Fd z#Xj2%5Cot0O8(B4Hys_ud=YtLm8C^*vP9Agd*ciN-OW7>2Db{%1qBeh;xHIK?Jo#n za_Gb9RXtI@`)``=^#|hmw~M*-`(N{W8opncfuZQ4y`x~5d~o)^`%C>#{kb!iEX=<1 z#{s`q?RjoRCH(T%|ARSv^1+jRZ$`o!68m;!^$GTRdNMNJUu_Kb5HqeV7OL-$ErR{A z+vWYS_=Z>x_Q!UX{GG=BST1Vky%@-~_Q(F$kSq0+YOKCLc0KHm&B&x-f9!{`Xf$x= z53(BFA1lOdsbY$0^_J~6T02>Yl#?*>>E6r5{@CTxIPm%t*8Uju%yaE|u;?xS2D0GO zp5ijcfXw;^*>_-rY>LmX&0Bv(wT3sy&hTft_N>1=9EunlWSyQZR<;%+xCMBiZU(a} zLi!hb>gN8~+L>D4Y+p8&=$n;~@7OT2)KwBLw(p$u){45l5wHbhT5Ex{4cK-WtZ0+w ztl-5jz^q{KJZywp2hXV+A&~JjPWQwp_3t1GKJ71Zk+2(9JJXo0EjVGX)_tPK=h?CF z#CT4>7J89!y7z~k2d+tZHgum*3@7J_$x^wq+{p1_7HlDYscr?~G-ILf==b2)E+;aO)j&59AO-%gZF#L_8R(wy_;>Ew$;#2nIee&j9A|8`>N35|Y zL(u@=`tIEGAJVoTjPq~dbvO*%5bg4(#U^4nT(s{)iE|}O;-HNZ2hu6Vr1dj@)&l1| z*OkBFH~Fj2hI1=zEyU*5ufY`>vE0d_<&KbO_4;fbqwQ#59Rf#BZ+#_yg|@pCEEira zpIHLQL_=wS2#{sut(1mkXj!;Ypu5CbC~?n zgX^1!J}on$(dQ2ViPnm5my^WmnZSH{>&ct7!0CVS|8yW@3%1%k-ji(Um-#k{#zH2R)?&+%)uC6naMpW0*ASywnjQ5#fK9R;=p3x2gu zTl6b=J9OwY>nyA@aJMzpmd?U*T;|v|JvrCtM=w^_X@ga1tbg$S4SEvB`X#O9s9&?}(1A|xoHfscBl#V07MAsu8+(3YB4G`pg}NE+|r^{}S^d63O;I=cs3wQhG;f&mKMtyl1$fOzvP$G3&0!tE72zJ*L+cl(l!9ReZH>ODg?Lc6DrX)D$sgB%O@E~mrYzUk;9&Lgk=cd?dTeZn=qpt#Bz zDaISuWyD7RMIa46?d3X5dl&+laON?s^+VnJv=9G64;^>-+|*$UJ>tZ}zJFM))}nR~ z7Z|N2*1I-FyD!3NPoY&9@r6fMYW=G_v+@4lg^IgCg>m_2N8g`2^>F`hle$=9c>`X0!GPy2fmC2TzEunlzqT)x?Lo3`}-@UXM^+WOU9PiN+*V)4_R)Za^n9$9+9 zMY=bWSg|VvGis+@*)U3j(jBblx46!z?b<(3+rlUWd|E53D+3}cO z68k-k3HhE}GO^iSaDNkIS_c)?It@E|VLv)<_O`X>Y}Ot*N6yrn*2gP_Y|n08>og9o z;`urD$^;)=y)TJ1LaidE&9Fx|8$!(=$VN8bSgEA8{74s}R=>fpsUsSW53V_CioXPh zD{$vKd66w08$xq31T;0A8o$4NueQ8h-b-3^jgesC&bP1BNU#@yA-)c>;L~1nlvy)# z_WctfXD>fa%Gn!F-UEw=V)s;US8Dd)H=b2PY;fiNN47*^IbW4x_BNB$x#o=+R$W(;zWjtq(CBhD>mpDYkxnJW(*u zX^M9&d0V?{i8Rd^sC}kB&FCzr?d>@+#MBd6$3eCQ?A{D3sZjJ!!fgviAE(V*G+MI2 zn%_i2xjDr>%Y6Q{m}Vq$H9Ky_4op1-cZ?E(>pRYMqja>2XOl$Agb7k;JaoyLv2k?# z%DmROI&+hDOFgY`hGIi^jVhH&jmv;pkWrrmcZ{)R*j9i;ig3)Z!t=j{b^7+-qON^?&o7L$ zyD;MmWE(3~;VO&jSX&}Om8*(d`7q142`<+CI1sFM|3@e}Bi((Izl_sbyc(_A+X;fI zWz%s&Xnk0#=l6~eiD!mQ>lf>4QDesOVmDl@gPUHB8}LKFt=5vh!C=NXX3N!PHZInQ z{Yc9eZ9<@7Pumu7Fq(&cJgxPs{rj|UZqYU@{b{1;{kO+TKKa0=ShENBBatl*HYA#J zkFeL;I# zC!ARy{yPr0)!Km#2W15_u-Cq%`TKTc1J%Bl3)LCv4KHQ<^4U?PSXp6ZwqB5GMfP|h zwI12^Ibp{U;@Kp7fd@YzikQDkdU48LZO|hf&JSLyiNpDfIGj&4rfgpZ74?1gj*kd& z_LL1qCs?0U>wDo>!K{B;DxeK6yt-1DXp!cv zf7FmIC1%(-Gn3n8E8o;szoYr$tKX`Gy{ldemPGVeODfpesa6{!{{m#`BkO>LosGL{ zW7~2?bBpWo3!c~tO zmm}gz|Mb?Cm))eTzARPhTm9{5tTr_C6U~Fp*47^v%T;Fg9PIb|#O?5Kve+03(ZJm? zK}d(dN23<(r{xpo(s1P`*J%%aQkrno7G>)LcdC?a{9=nMO(wRc5j45R><62efLkWOYr^^ zIJK<$xN*?Y4SW3A#+2x2kZ6UnSiTX7;H?-ekKwF!Vu^JciT9!Lf4OY5RzSVlZv zNF!5=N#a=}gh#jOGhm7gOQwUgRL?=dWVar!1|K!DcBS^*niHnz<=i5z5`vrC0Zr2z z+d2ZaHO8DEgvyZ%+d4&a1LB@@;Y|KqJjV3&z)v~F53Mpfns18PmL>2+Lm;vPY=q~H zWzLIB;ddjyO>2e0713f>W|^LnW&}yH>0o)Q-9~8pAI`Z7uvix-<2yC)Q-j!Bg>P39 z*;UzVvc~V~Dv6NeG{cx@)`QgY;7LM}6{O0Ji2~Bs|Ip08m(tb5tQD|-H}9bzcLw`k|59J2u{${)RM(e= z9iOsQ+ZKbv{^%KdMYOzf)?V%P&*4p_*B*MXKNOu)9Q>m%lQIsFqKzLth|6ErxOlQ5 z*UWMC5k4$-j$vEvj-zhVdM5ZXnSq{<#bUMDcODt+)TA*WT+tgpq!R&CU;TA_+D2;| z3O1GE=C6YGej4)Jko2R}mtK!pn@R!Cg^kT*vHfLDbWY(iVdkXI6Z7rXwFkYe^|ksu zT~qr;g{$)#L*cTI59s=w^)1lFUlzMcsgm6{#mS5X_|&=?>_H1A`Jq-3Do;MVS?hhe zpf52tSx@Fvs75TxsdEyoP>!? zW2GY&!1;XR9^m%?uQleKY2&qH0n%tOYz)2$d)ejygk z-(at-MUYJaf$-`03>Pe}gu-g|SlHM2f>vI7Q7OG+>G_GooE^KuMNT%q*;=1UEY1Kg-B4rGs{XficO&zfPmyAa5w&ua2Z(8|WENV%pk87(G&6`fIz)!|r z)lfc9=$)11j`f9XK->bUB*Swh|61ea*+OPLpIejbbHuYoK8MLQ#68@k{BBJ9L0={l z-gbRFn7M9U);Q?4_5`biWTmmb5tC~R_qjqGgr(_=`p!flZ2sW)jvs2?o*yFIk8HiS zkRR;nxTecD8;+(5iM)M*2hSRWV;b&-J~XDSP%vlgYkP0g*7qX!UcYop-MyOTvE3_X z4fVaA4)Z356)c9d_0(9`{IM2W-$lH+(r%~Zk_lktN;8i${ehp6(y8mV@MY}U)Pv$7&y8G6n z?yZF9=;FelZ_mP4^NGk7d*4oUAu9u%Z!5Ah0Cd!(IU3ym1sDyMe*tHJmo}cWCm)?( zz5Pe!tk1Y-9cc_+;(FjWKp=cNF5rU4wTat+(_32>YN^q8_a1&kFf;b2rVq^@hYrFy zdTns<@F8DzHrxu#*Yft!-K!wln(|y|h_=iQeH1nmVihB2-LZPc8ttyt(uK0xMd|u2 z>$JyXFZ}Z$(28c!`K@TS!cRoC9nB$_o#b@rr0;|EPkx1`Fm zvy=N`#aP4I;uzPODO@6i$}tUx24Qg}6z*N02zQ-M>hfjg^|t3@xuG>DXg+*K0zYr9 zCM$L#L|gnF!ZLz^Jw(EWTU9p(vjs<=`o6a4XbErO4;gc5dvzv|v3I3$WQ)Z>Lm)&p zoU|u^|7p`(pC3O<8#uySD%ZA;kHoGu61VuF^Mez2c(i1Cd~YlvM)R~MV~^&d2U;c& zxtpbRUH&OxU6oCP=?P7<&Dr`ca`b=(GEF802|C3Vb?595m#C1z=yke$VZGIMG}Bimqvc zD+XiuC-95!$@snB6^B^0H+JX4gF7ERL)TJ?6+2;Qx2GYWL7>GwZI`5jq_8SmqkXE3?bg_QeVv_U^SP-d3n|I^}L)3cUd`U7LU%Aa#I6tNys&18 znv>jnO0aY*;hfx)x0Y^cAG(9l4mhnuCZ>%N&T;Vbe84%ab?@SvwetUX^+Nf+NWQf1 zUR^q*io=CPI}ZpmTD}pxFT^+S6R8MvTv)q3f2HQ#el_;Z&|&#PHoEK@e-_62NG001 z_$Y*42BP58A@(r@N-;nf%aCzxQ5Z5|WdHme?c!Sldd~k^e9DD0J2mlx8S~=BLO70$ zCk`9c0&lVzT=^}ypjW=D^jK9shOvgxZyO4v!4CGN66TS~<5$6CeDl?K47zpO=H6Iz zUh&@d{QiuXh30Xriec1(F8-g`1Lkvjedpl`&Aa-kvR+;NWG*~6{ooU2(F0%_D&EAi z9yw zl)MEwHK9mlNI?mAZ5 zZXF!zs|QEW?`!PMiBnb)74Ye}n#+V?E@AHA?wz<-8#)f}Gb`V+hoBImsH^fSx`ZGl z1lLknt|a&e&@`+bMH*bhrjPG z{PGR|d+yAcbIzPO4=bM)jDF__0UDm-5&A21gAm+*N?u*KQnB%8s_Lt?iz}Di zVCgg~+!Dcn0K^%a~ ztTrp+YCMf5Aw0#S@VCCyyN$_K;AYHG!mnQ5jf|-8#?k$3^)W3t*`5g*Ww20$wOUNWR8^4m}VgQxff3d*Pjr3ZOd zYGJo2pAo04IoqI&O-rF^pF2g5nm=cxLL(kiqN6FEAeBKxNb0$sl=NekjG$Ht{Fd$tewCq`nJ03wH#w-Hl#K`vlb>(x&w1EHybbOv!QPbNWVdYu=mKKm4o1pYG@|g+Vp@&hC8a?IwB7U`As<` zuZQLw160Pse?wG_h4C;6Pw^}G8)M-d9IMKbs-A;K^#*vXX+s0@F;|xIj@*}!gSww`W?dLfFSZnRN|#; zFFrz+UM%Roa79?teIci{kA9W>6?_GgMH5binG339*Pgt9xR##eb>E4NS9s;%7-`NU z7TA%X`+PYgsDi(pD(wsmR;Wa+<%$2)2+4_=)ksce7UY zb}F6MEmHn=Y9hjG9L~hw>-ZbvaNmV+|B+H7g{ms9CF%JUx(b^dcb*TYshC`T)TcWh^(5ER~|GY<$BMW(_(x!kpx)Vp%Lb-wnas3!hHcH`CxoJokIL*>LKfTju$I7fRlAicL45wL)u51=)Y`O=M3YTWZj?sN*_^t60#Ex)jZ6`Uq$j*| zQavv&XoRS_CQgmKQvHZ_UUWDex*EY;1*YoW>`Wz=zQAJwMAmGA$eJy-Oul?`LMn@t zZ!(MbXn8qcI57G1;#7@Bl_P;hNc~n*vNrDylYL>HdpfqZ2b0ZBBeG7Kt&m9Sq73&c zstI_C%XB)q1@{Fh4?Xb;6sUUL7F-faNW&~IX4TuQ%CB zzOsnbE}#727jJIu;T4nZS2>xb6YLIbcffEd+ zQf2zx)o^kT_vW?Io8e{c?sQqYW^crf_h@n;sNbXE_GYF_`KfRf&BwI+80Z`GIe2{txtf>bMh*UOn&}|CLxyW;k^!&l)cWC%86^abfJeoG`MCP+~J9;ysGVR*mW@Pnyc>swHq| z>vcp^)yq9f=7W!2qR#gPjd)F5HfB4`(TUWT4fr>p>ipX0A(rNOj>y%~ixP5der+sD zV`=2H0g6)ieym=Q3d{?E3!RJqXYI}=Z5j~spk z@~W2ZU6Ic1?y_u;FPVn0?nfYvswNhMG%AJsuqzwg6OkJH^3DEzWlv>kWrm;;S(MdIUw)me*F1?c?n6OxgA^$$-?c zGRc zOVj22U`)c9`}qAUi9AS%3r!8AMVq1no{MC%2D|?rNXL5zc#8jna6G$%J3FDuz;(sr z$foPfkxXop$(^r&Z;64Wko;!RCuLX+bn|bd;8ZC-AWAHT53~zJ0-Qx5yXxQOq#5WS%s2MXGgffj1)Ir+3xojaT{kHx7-mJo4kJ9G zFW@n`vk>vLrC@Bo2!CTsf%mRCbYg*5`cK4M{(I6?{yQmGn%(e1d?FPVP`6_1$4R*~ zG{+UkAoPHgyZO{?zZii*XmYj+oIJJ6x2khil4>D;xYDkr&^pZy!^Mqk&jd*=1!S`U zY=8DS0W}`yyPqbJaJws$3LgptGoiQx9fbOM&JU3q`gslknQZ@<0v4=dB8WaF@PN1= z^3KJ{_CTs{0va25RnnE~cac8p zZPJjpmGCveY(~hV4JP>#J+OlMMy*3MabnLtM^=->pWL#SY>&Gf{vFZa7~Q`c7#@j7 z+}m2ci3W&lgreU1eH(8{ETOpSa%qJ%bJ`tr?Zk1U?segew?cCjMjS5v$l>CsT-q7U zOBLFa7y8I$!FWUeGWoeH{GpKUDLgT2K*0Un$s$X(1;cCdlAb_=RN`3-p5kQ`S6H-e z;3k0G4vY5lUy0@LU-?B-W~F?sYcB^kX?RxDlj?D17pPVp&rXVD!<9=;D5XQfgQND$ zs2u};@%XLnk2ozExpD`D&#x}ObuU!2z+kSt!ri&_08;Q03ZCNSok@WcML^}B+CFgG zRUA@Um-KVz#*WC|Znpzds@FO%H{R5cbnmU~>xjhh6+TbGh!@)dZ(uCHg1&*VJO}`= zJ>2duaevnKh5B|&`wF76A{x?kr#%wV?YkfVGTrI!3@GKtu0+7)S8gVrE5(E5MpH5& zx6T=!h6p#j?#f9<&9MZ)GQlDttR`64nW7$lV}iv8G9K@j!IwW}262^TEy?V!@&xBJ zhbya3%fW5GPy3Vc;4>X&3&x|!NxtkqZ}2xDwzvhrr|1b%21`~|L$Y?Y;PI0yE@!u0 z&XD2p!vF*(2tB95lohqM&};=fesdIFvF>U7(5onL+x4L}OK-b^KGE7^V#Z5>xkUuI z6$Aqk!b;cepGG$Qn6ZSyo1lDndi>_NlA&b}^xLjf!mr2Vpk2z0=ao9OFb%3N3fquq zrJHAWgFLcsLMq)b$Q@EkH@lRmagcu$FrNi1c#2nb#)M)DKDE-*&s$fK)K=Y92(4ZY zde3W;eP#JWu8^IUZgwkbeT&V{-9$2>@Z9$fCWCr;0hD0Bg%`k6{26@_Cu!h@JD{kG z_w$_f|ZfsxdP&Seo!R#>}}caK-|69LCz6OS~&w^?PhTDyRBv=i?xfybxAP;(AaiZBcM^yqfDii!4rgJtlgA`rZePx z#(aVp1qu%iNy*PO`6E^o;Ay|2uNj)d%zFKT0vFf?K5A!z)zJ*0c58S~UHR6aFJ0=| zaRXW#xm2>uur|>7$0Vh%Gr3+>%PwEIi!>~Y#61nQ-n`lSp&{#>Q|ih*6No$c~|y>=Oa15ShS-<}Q(l*3QqJcw$X*Ph?e{5j)uF@ zpo>nznm(IIppPi}x80ae1Y^}Bb7m3;HJ*e7IsFL8Wq%iMD1?RDU}_b+~ zj>F=?Iioy6yxtpeNQ(x#X>SsH#PHTm16(E|zCm0~L@?LXxA+?q5k5E~det%vJ*&PZ zBdWJ>uBkUGcWJkLPDk80tD|0FUh%C6CQ1b`GVoA~b*frP`k*WBPsilcu=YqaV&uGn z;tTj?z5Wq0)`I{9Pw_Si$wUHdUZ~{ZrChasi%fQP;b%7WLxU1*_D*!zu>O&hbn6BR z-W4#!?G#biw2<6{#hOMI-M*Sc@9|rb6aF3;ad$IuD7fud7^j@@8Ug%>$mVqiB5L!> zHpF-M8=F@*Sjb*{0~jv*pn5CRLOu}6bFE4?U!Jix9QZz+h(V=drz>JKpFqjL7;PtF z(&^PLA`t2RAyhUjzZD8s-NsKxO?NG5(n>7G4nxTZZba%z{O_p%X`l;)<$Ok>{hOUh z>)60^{XdUj14FHDRkqFT3m@nax0aSedo`%Wa3;7FH>@25ZA%(!oe94(zG62~99reT znkP%MW-@A%ZJFN_>v*Qr8Mo>u)C!=5X_W6NEo`Ad_QRbJ8hiIcm%OTJq~l-0R`)&t z(thv3AE!cQH+6g^47nr&DzkKUb^byKaL*5dDFesX>nlmk8&E?jU-Noib~i74-R`g< zS8|Ro4|gGnn|>$&!c!cgz=GWH=hZELeE23J4;Q5LsMNz~phIU7tJA6%dO&{#b903S zJj&-jY{)ilbkVv|aFBRDL?YphwiKtV7p)o-BAL~jt+G;zZmuZTJ`UN2&1{513#V$h zAPnNE8XQ_qaA^I5#9PBIk1`<}F0(*eM%|$Wy4n}?>!u#>3)zjRuw3{N%#z-Nzfq>| zg5lAa8UqLBwZQd5j*>$|1XpP63oUMbZ`2+)V#d&tW~a=(2#4>W_iVrtkT`(xw-Db{ zbqn-R=x=dkxPdLLzQv!A_CnB&nLxa-q?Hpu8Ov06E5#P1fEGvx zqKXC5wcYLZ4m)tC(?xwwy6#R&Zo>pb`Ny0F`!s@KkFubfq)#n*u*I~QY&Qw^OGo&Y zq%XC%#+Ec&f^dQ7PRKoI!>zT89oUwmQ zBS@V=;3{xW!^Ih#)cZa|il4PAiHE!%ONrTHL6xsX-ObPE?1x`dXipNj$JX6z$o@>c z#ZN_tlu{2lN3MdXyQ_E$T1iQ%c+fd2iZ}AIWb{)-X*3kD;3?h@e;UgT#DZI45D3kY zMH7#ajN?Ss3voor&?G3;E5u9q14dpVZ%zI{@q{G?M}iyv?RmgJvmxm29iGNrC1B~y zZHn2QH0Um05YoX;Vm}I00#ibDPfP#;7I+7vl6{wVhG)a383Es8I)aGQ%JXZig;{W!oIB?t76*BJ#< z990Q5d(tXWIf>U5l{YaDZIp{rLrR&FJBWbVejf^dg{Sxs{K@TixUR;iXK;PYBcV<6 zLM@x#mn2ta)4voU9U`R!Z!->hm=3{?P;H0@^r~CF4&Dc|o5nahSg|$3AP8qTzhVd9 zOQ&K>dXIvd+~v`{$2)`;4$Lh5#t|vqHDMkkdygpJ9XH!s8@oXQ*WQ}7WuS@Vw)sok zhBJrvJpHV{o|`kj6rhGzvg#9vv;IWzp-r#2hIyW>QDX->xCQ>@RG3mg%?ho$8nQy? zU(IW_XB(HL-RTMGlZ{quoW@z_jbN~O+q%|sIAS*4VZ;>I1e{SR)g_&tec2H*=Q2^O zb$FRGDjV0`$L9hhvv1owLqg=WTTRG2au!5hcNKk6R|TcSnB<`vvqQlgZ>Sop3ng?P zGewu8xSUQt3###{&bX>W(@6Et*+?W@-Inqu$Im-REE$k_MkJ-=P8l^JP>iriP3zR^ zTmjacN6nEtelc`ClP~61@Xge9TDXdxkRe8nH%{tb0SlhuBb_nf;F!}+dH+31S|C`^ zIwJ7BADxNXsZ?4xhd4s5!JVl@0K0<5%@*B~!gv)%@KJ3VbfFJ^O16)& z+p>Y}uceal9j}`0C|8)h14kxE71ooh>H%{AV8K)TKb>IC^i1Z@#;Y!C(-hajCdU`@ z)J(HIs~8s$7#8**{6lz(f7Jo>S%i9qi4^sBudINepT-JKa=vmbi+2z+qkBW4kYNp@ zu(%J9;3+<)BO*y>p|Akq1Ae~kC;Y%#57(SYJn+(G@?0Yw|*n+!$b z_y&%dSc4D3OKzM*n(nkmQcbr=rTUdi)7Z)OjIYU*^um>k(;hW?I`Cer4uQTpqz{h7 zZnae4f`7oB>)X5puD(O7fE2aHjbkBiab*!uJ7%zHJ&wQ89S`vvc*B>%OhpK^du1Xi zePkt%qTJeNsH!7E) zkA&&ClNy!C9HZgy@DvmLjm|JEAZ9@X)wrHM%M-*_pWqJ2Cu&~C1MQAf*mP}h-{_BgFpL5L-lym1}c4490?6^bdac+#uJRjj!WoJ#iz&Y9`k?twA^ z@ef{8h_wld75O}h-fiF)Kz$t0;4x89WJz3BwQHdd>&=fY+C1YAYD>=5<$p@J<1-)1 zI3g=yF=#^Ap0$1RZf|qV`5CK%cR?vx(vKJ}fY(^jbOyy2RvC6s(npuszhDVCm6nLd ztcu0VsgzN;JVCtl@2L`N{BKwI0QC(Q&Oj4eGs#S6c77|!14+%GtL+DIcuTJnl4d&x%?ycGTD@zmb=TCfQ>E2#=|#GcdFq$89jW=-v$^I^=65>6$BzdSGKb!)4Ef zF)giQ$54BQfK#hI;mhe;0v#oqbHD@w5dISmOKz{~E34`S*>m%I3CAz_v$z(C5_KA# zY})})+YBa{ABx9GO4Hf+o3R-nbX8Ulc(c!eOQ~~&H#A-wg$(0t<`bJe+5}Y-VG6lZ z3Q9`N9x!!7RFUB!#LtV867oU*cZ4+k8g;4z&;|FOV)@XwQ4PxGa~ z2K$zA3ahQU2eqJQMl()}aS4fxr5$HY=XOSf6S5kSaqoj9G~|yi$?>lA-2K0|#SLq} zqfat8EL4`kX7=q~Puj53&~#C@7kO|%p8X@KY;(E%l?P>MSmjT4hY;T2P5bnaYvdjV zdA>%ZDlVuNP=eIB-duYIsXK!wa@P-#_SP|fVwRReOu1zvun8gAcVEz%N-Vm9{v9|m zoLEWL3YiLZ1~V0OKlx*Ts7XqbDkp?>hq#%P8s0D?M#_J3+Wh4|%AgQ~1@d z017;&i#mTb=xEG_gqBFVr<2&*S(`uhR$2*1T^1UZt!mU+z(MKYI#f+dyZWHE;E2Yj z7AKRg)(>9IhMIN_j;si}$--akTw}8l@LIM{FV z?Wy#bZ1|Tb7+-=T$*XWBLeB@>p@0XE=@N>|MAr&rUY~am?EcY3`=9%Y92y}A`C+lm znlH@}RrK=(-uAPNcBz0z`HUtY;AFpuy*DEkB3Hkd%W=tC)ptyG+DofngmVxkYnh`F z!)40(jZBwOY=J$%QHiCh(ZziMNnc{I`qLMAa>EYybeap2wPe4Tti?p_b{gDc7Q-wClH;rlW^DFHgd5vP5+JQ7#f0Ywl~*jFMbudv~w zLfe7sULc$PX0~NkZ+a2JLgxLsH4}$Tq$=z;y}go3FnU;lKFm-)PfB|w{Q!muN^FDo zqVPC5I)lL8DZ3CSr|-kWUi`RSdgx!Wt@w9}lkb9vt-9QXTEm1_&C)YnrBSR4Hi(kR zsaJw4Z&*)O-yobl<&6z~2m^TUhlCmY!f+dwPu!&Ma@;{|#;;cAfqF0DK!%4hQ*Zo@ z&Nx_lIkfa#KsksJs7}$MxSCr?UKwi*=52T@pjk2-?@Z==&GmPN>=CqN0#g1e!w-Om zvfBX@9@8}l4zt2d4J>IwrWaJ(yFG5nSPpmD3Q4stqXh0o`1efEU910l-6#YRBC*7g z(JM*$i=#MWbWhz!?1njFlmfd75E+A^OR+%fVqp^1*|P3hh>+{c8~TTiy5(5ij97$5 zKYJ2Eoda;|v3c!_m>MTD+1C$$W1Nif6%sXNuk3P+T$Z;a`~R8_UzT;j6)|^IgHU8{ zA^}|&Lf0W$r-@WElb_sj1=-%ulJ#%B3QE?(B2fu#3zS$a(zZ*IJ}g+PWX*cr8Wci| z%HL32b$O^Q3yc;7f9AN+MYTg9LT(5rp|ez!-m zzx2M6MaxS+p81ue9@~my#tJy_n69UI0<*)(G^NJz&3+a_V4mfu3~YTbm1?c*Z3D-B zd9>Y^&Yy`I%DZ;?_t8jeedR)Q{s((}$YPR$4I-WQ5Mj=<;B!d?Vs&tjzYzP57I^%CH0dU}TLf>bc-r(pqzkCEilg zJLnC#Ml9?dkK%#HC~Y7s`2wNLq~^^CDsnVfZ(11r@&4c%k^A!pjyGJE zm#TX{=gPKJM%sOe3D||oszh}!8=kk=s-a*vR4MH6Q%5X^cE!y^%zerwWFsOJ4~XpK ziO#kHMu2LeLDg5hE)TxqO?hDw!jPAop5U+fOC&-o2zq3rf*^X1Mgkl>raL+VgI^t^ z=zD{D`!mV5JZ6owwmcG$N=xTDY+40D3rD5Z5i_2|#3$`7Vmcq!Y|E zl${A^v2Tw-?Av3UWNxeZfW^Mq6g~D0=Ymc0-2&PQiiQ@-=%Od?CT8=Hc*By{6S95S z0BC)r$>z3$pUUp%THc0v!q_*j;jK6Uz)UpXLxF`4hYE#mH4k&fOo)A};14+Ep9g`% zTpK$=w2F9A604lCWBtI+B-MzAx_w?P_H7EpzD?m|^XllrR64fkmQfj+=p67GpyzT+^xHxzF}nuN1=B#< zG(qf}kV0Jdt+qr_r$t|)8GyzlFM4P1A=;UlEFonNxbHtM1+k19^b zWwfpEuF`pVe`)f-wl`e1cKIfYC!q)Q*1~%j&pn{OhnsV^q9#h!J^&Ja=>z=6-qW(C zArYLOuSjNXv@l>QXXq%D7|fo>Em9yc;BqESEsdc|peVlA+FbdhPmVnNu~Xs7VTZ5r zxzpo!bc;r@;DA4oHVSoc1qVz+5M5J&L*cLRnD9@1AuwRb7Z^+>YSr~Ui8TLuLD$Ob zn!NcWWROmdxVT6{bmKDv9~s?{qp%<0iaMcQgv>T=B6CdQ;japRm z97Q5U-6U71<2CHm7ec56uA!lPt;&wZF*>ZA_^Rgkcvl&4-)rr}2X=HY| zFE|eJ=|sroYArt@DN@JG<0OJkDDG;=m&ofk%{U`N0Sz7#E~K%5Af6$PBCQ5J9JzWk z>9|@rp>-Turk&oMahtyT@#BX93OpvH*TAC!T1lv~Wck5yq~T{0A+d%-J~Ssa%SEZV z*y^;rg=%Vki37{sX2$&&YIS-KNC~%b0E8*mWejdjHtopf@RB-$5@u;6uPHRlWE>U%888quD zsJ)k(Zpdm>_|sfrzwQ9Y*aH0<1uF+voBA(pK*yy#AO1A$om;87tOw(Rr! zbTb#(f_*fajK*}s@_}UlGYj`Cr6C*>b!dDQ z>^tCx1ZCeR_xB`iz0Aaa@M6DFFfe$)Vvghv^zbLafflv#HAzs^F)IK?ouD>U07fj4rtJ* z(xdz#kjotS+DZdslHPzGY>Z^GHF}Z)3swN6gh}cF?Z~ST7&C@jqwuUA9H<8PE%wajfd1fjsHO{pfXxi))Jxmp^Vnf9)k-f}b6 zk|dw94i8rceYug=j1k3&QO(R2e+H33QK=ReD}~|#r2T>KRYTwHMfbX`7?h*z5xvx~O0 z%6X0OKAae4;-kzkwiv*|Tr1#RfMnA@K~VEwt(lbah(DJhe-0s`EU5X1-BhC8S5~sz70v@pt8k>t}I|D-o0tV!aF1p=CGWYyb zktTFip1ZqDv0?Kj)r0kHcyuxe*GpBQhkm*EWxw!-#&fcX zywWi3@)*qwc)f>CiGm4-$O9SA!f?CvG1Sxl6e^gHX!^vTRGJ%WtybPM ziMLBZW2d9uV_xP_6qm6y2qbWI=Dn&VFy+3(q+$xAH+pA0Gw{sapq&oiwj`GilE&}< zlChab%$nlmirS%uh7wgrhY{pF< zQp)7M(>R~A6K znC*qXAs&^&x<>>rMb!!#E3?GXc!FQCgVubyb>U%Kmf(t|JcdD!djSt1@R(kqpllZd z&vrd$Dy6bZ1Icu4ZaDviocZh(idpdTrInm+_~9%tgYs1hCWuGaSPH%W-?{Q@()2x) zZExBt2Y!Hg(7HIj?+hiIHu?gqv5RAz=#l|97MtDI5SK~@(glxwpi)g^@VCBnH=J=6 zo;tdr7IU8U)XpwlACHHeZ=;l3)1d4of#vC>WH`0F^FDq0;QZQC*Z)^xyIi;q=jd8c z<3D!k(w$l`Pn~~8ms`%b5dLp?w~=R{Mm(c8{Li@~9_qdPX`BQ$nwljr8i(F;Mwj8; zMsuS_z8!s37){L%9UDgT*w*zcL~LqH@YwulThZjR1Z-*~=-5CvPs#JYe_*9Bnwk&% zXde58f1G??7)?zAeRRQBj{YjRP8dy^7enZ)NK)|Nz;s3*)G~~nM!e}b<_0a`% z+g&do5k^yiu2WJldUEg%aTiljua7RE+ac$R1#BwFbxI26N|-%m%$Kg;%>CybtSy3z0WKP(qU+XYHs zPIyY*%@1E7jGiovF8Ip#2K{D>FxnwdQZRbX)bvPU^b}!q!RpNQeX&6p{bu24p6;sL zlu5$qsi&j+-}n9YN?~-FfL%cM`%}A$*wYHJc}l9k*eUX$Q@}2m?|CN{GzyeV7qAQF zW6{`}ZI z4+(V77bq#1lcqZ}!-dfw2%`(=Uj29fg~I3s0wo2bn?J9p6Gne1j4q&L&96_3Gx(p= zjrQGn*i zuonxI6pUW?`mx!<=-NVTo@2jU^|`oa5T>+J5%3J6u#0^IGU&A`&<7l((Tno>ubql@0#%JRsnlu;bG z)xzk4uZ-UE-&$dG^XW#%#{FC5lQqKV0!rfbPl>wW3vIN%mi+4MKYVSYK#8w#G{5pc z-kcD3v0tF1;46O`` zKabhER-j~^FuLF?e{ugyoRcq4H#(7cVx)lml`y(sEf3#xxyXa-wb8l;Gyuby~97`;WH1V;0# z^Xu}B;(UKCj0U>-(Z_zS5@+xmfs%r+?6~3aBLXGg3fKj7XJ74kmN0tj=|&%4w)dnk zdYeE=!B?JWTPE(E?E-cI-TCWF#oe&ubfZtca@#`!CEp373%-&pJ$k7ydS@ZsJP*o; zT136`-_wll(WPXzCP>G|V?(IH{9_?1114t`%O zj1Cu$=CQk)7WWoLN3_wWx}E58_RC|Z2%~ok*doXF=(eQ4$lrTThu!_a;dKJ`USV{> zSDstk_??_r*W;A1cuOqd-Z)=u59V{ERUA zCt-8}C6|qE7%7ZCAW%{;`tncSTqBG=c)HP7{P^%nVe}z^k^;JWU3Xr!FuLt@qpx~l z>v_WHpHD~0)gR9ldGHqjyI?JQ|1eVI!GwTaK=-x%t`~Ph@^qv7JfHkop!={u31IVk zzHhBtl;7>b=mNU??SDh$!BpXBp1*(7ckulJC23)F!B-YP-(!O?`bgnuo^I3PZ$<2* z+UQfts*?CSPYBrm6Gj(&DGRd-R)e0(S0n zqwl!pp9h4|`9ezgmA}*a=zd}J$->b*58k!#%H6_fB2ZE=CwK2Vc$Y9*7Dg9*<-p$V zVi=w4(icaa_P-*y>!(;Mm@nNm*TT54dl&Xa%$kEygXGNfV#)xeiEK}f>*GHc7Xtdr%^ zY#?Yc+UUvN2UDKu*<*E|O6sHTiKm?pscGvL5udY$44>;Tn-l_X_{YTY^cmeXFt&uv#2cpq_WQK}nHYyA00^D5}>2cOUyyE77*w{b*E zz+E@R+7jJYjC3G>Jl^ws#)yMwE*z z89zA}D`cw~HotEE#A$9iS>#ET(FRs7W6k(bt}=~GzW;cqOID*@@iS_{LT z%h!?-^?ojg`PrJsv>1D{&1u9c&j2vC_nZiZ!D4hGU@rsNY8>~yZDhz%7s1v<)A*CHj?}>wUY76Tb(f>NhPP|y6u1R2s!i=p9l2v zGEYmwGa()O3d*fu1-GLRS|;Er5u-?#;d=#b!w4Kw4#|(T5ULZcpWYEx9xUMJ#k- zgpf>Mmv@GQymnb_IJmM81QqwQW?Unh`br+I;o!o4lSA>+#%m>LHUkX@ZA#QAZH980 zE!j+M8aUahU}H9@;I{Hi(sWivQ36dxk~`LXX2!x-ti__&36EYMXeMC(5wPGfRp=D! z3=MKfR@)2i?gJUNvA+ByycxT(T~f-@%SJ}VM+Y={(br+mFuOlAupWF+@NFX+*uV@ZJ)VZY!eja;{>G#S zv~oHpcM}a@T`-h5YX&)+YJQu~O~}*?R3cn`L!e*DdDOm4?|QK>)1h~)U*u#Xsu_c? znYHu|z5+Of+xigAn+Sr)J_$jl-Js*(+U`Qf!L7v@+6>kF35n~;!iNBoDZ_Uuq`=n_ zR+s~}z7cx1L4`R_zj%ZM6g za}ZHlGox`Xg6RT~Zg01mtT@B;3@N|O9`%&p;8vm=e@hH;y;br`<~i@XFcdxS@fp=H z`4I0aiY%-dAU`Qc5QDrA^4Y^#{4WfC$J$+rM=R^%a9oW_~sAcHKlMw=t1lu~e@_K32CpW~Jpe za$c{&tK$K62%y1ZdS4?`mlV)1i3*A*udF3~mN>mb{+P2}^Km>bRA7}o>DzR>0(Ud& zWOz)~I+2`^2&nbepWL#RZ2vNz_3sEOF1;3F;K^_{>)zIqb~ltq?XJtt91eP`rpJo3 zw|{y`Cesogyg22XTDEvM{Qcl|DX6qo?of8wLc_{$^?MW0dT_7ejO(57a2qlaJFhb> z@Nt7-w?nzK=ZSsD%W=uEId6O51s82Ds+Fn<{~?)5cIW2y|fcPwPSkKC09Xe>m|HOJ1Ez))Yh!sX`B=J)Yb)vhc7|n zqzh$tIjz<;nsM?gQb7t*Td$N9Z!9x^ddNakTRpOoy2z)ten?>jUI!y8g}e?4EqkC` z+TLi^+}gC)pH6l(?#aYy(GH`gxg?9qmkFu=P+UPsd3@N`7V>W@rq+{+3YO49PZ=G3 zvRFY5diRACBak1tiOumx2&l;urrK)oH$$GFDXhwq-CIaJwuw(|4KIB+?@e{YHbQ}L zG#Y|QuhB7sw?-BsChRJebS=NbmqcX@miw}!qj#=Gc@v;QM(D5WN$OW2`K#m5`qe1HFWPPX4KMhEKfsi$MR!)8u)Cy~Wmo!GH&k=@W{}?GUK6sFg*l zy$F&zFXj@6+N$5w5{MjTqponAqw-S$4P-Wy`=-f&-3K2d=lwpCyY%f+XU`#IpkCOa zyh_Rit>r_q1oMZ|UPaFzLMoZ)uG7C>7u_(G*xRS~=%W3Q1bJXCH;)132Iwc<)NHe6 zFsD;Vaej{RfI1Y=;4v+ssO;N;S~wuz3epXdEMtUNe{wdotPch=BjZu7f*Vko_Zgis zx&R&=GU-8cDa8{O9M2bOiq{MRjn@^P%B|1ml)dF?Ta*?SOeGb){RV=v1zOgb$edrz z@LD-#9BF)$TcB5J8d!#x!>Jc$;|0QUMAL*WW33hV8x;sN=^Co3gGz)v+$ZA19CtdP z4{ds^d04B_O7M$=Pv@m@(@!B6u30`H8EJpGXy_(@$K*!bPC_A%1pq*vc|a(5c#EKX zGqiY{;M#U=25N~*sQ@iOlrLYoD;m#lNUy-`y4`k{wRGQr51^EId1x$@5}&;Eh9%I{ zBD#C5CF;;E*l&Oc=E0FV69IL2{3_?HyNy^j-DOu=Hw|#7#*Up|Y__A-6T1@%ue|In zX-`O#j}3tOeb~w-pm_E5L6dDL0K;Rdr!U}`2>PwiT68egF%HMsRwi%rNkV!86t;Cp*5)(HBt()pxesi1}S z1R%m=@|+4en4`jf=bHJXXV#El%I6wU|ESd(f?5?xf6D01 z*%k1a_VO0a1o*Q2YC7hE%OM?e(dB~ns<0?1t)bMPGi%dJ^X~&dc3@h03c!Ra{TCFi zCyRA&{sygRqI{IR6jK|2OQQ4QU(fOa7BSgh(i4_HJIs}g~2*|w5|e~#L+`EZ** z7-$Z+5sMj^f@N4kD`Izkf>Fc^Jr-;NKGWz$8Ko8D^?GEgzGwe)EVLs2r{K(!f5Tc4 zN8&b}c~M%IC@8Tk!R8zX+10zo5qXzzT=dRq4<=*nZ%=pF(dj6861S~H zO|_%(W+fVm9rm>4jN&N$;5CB)9v)L8eT|^Kfr)cy8AImp&pW{MI=n+TZYjxjqf~nW zpxBZ%b%p{XE~TEzXINH|a*MF%YanZQdfA4}X7t(wf;rBGhXxm$+11)a=yn`vsU&ru z*Y<`QJpDq%v!stZZC`c`3E^U~Z2P<~=hk_E7uC%=ow}I~jeIb37P4`d_l6og*K(qI zb#$U95TCD%irDe=Ci@J~n}A9ts@D`!3I2GW+L&V9EU3XVn-kS-b&aeBPlV{)&x`6W z5KR-+OvCu_HzulcU?01&NF1CuuYt4oHTIUpS0_DSy098N%boV%qF!##1gyd1fJ$Zh zkpt&~O|Bn73+1$5Yj{=_fSZp1zh;#?m|Hh%Hd39@Yrp{4Qa~mW!Rm%aXIj~fHBfe= zB@1OYpu~o`{fjs{1@+bPrvpaaW&~uP-J(;duNEZMV;>b*tt|;CyK$IbtyDzz$Gm@w zSt97c_4tjFT=?0{HVz=DW*g&;2Rx=A{zm5)N;>$kO}r|~Z(l&}c|X)N;WwT@=1n%&kfx)pSl)_`eOVtOWSGurLof$I@? zD?Fxk_B5^c98>W2I>z=eL(mc@urHc5L7PZykWf@&a%`YxpW7mFy(kF3ChHMTTN9=bH{fqv7z9O4`BOW=oLqQNmc%tXLPuOE`N zy;hN>y#x>S4+cGXMsU>FZO0dbE-i%g=#gdY*H51@`R5YrT?Zf`87F zmI(D6_}y;mF+4Oicv4Yxw!RJszZtOMF?~bd!Dbug1uFdH)&6OaIGnO{%Y`VVkqLtq<#n1UKKAW`I;$0!YYAs{k| zvK0}57F6Nvw7m^~qudL|b?!pY2>6E4MY~53XKaL{DfVnKSpl!4Jrbq`n&Z)iDD34p z^hhAqh|w_-PuonsZb!^QzRmg6tLoZqM@ii_USL;8w7wQr2Y2_4+yyd+%AFmZ0b!eA z^@PzyeFuY5LdoXmIM;v!?Q?~p4<`!XJN7b>nP;u|EDXg zg8J$U_oEbGEAt)wXPi`lyM491?B*jq$gv*$$0>)>Zcj(h9~NESLA$_N!whsN*gS8hAhTfgE_uQ1g-*eQvX+@QWw^6*7H+i zdVSTKq`Hi!a@V!#oVR0cgTslvkyAOz=n#q@&KCCj&SZjv!mF0aSaa7=vi2?>weDQa zhngW)gU4}U-vS_bOuIS*Lf}CHz8l(GZ97ILKv|5~$8y54v?-)aq-+SEy(^LrjwK7> z9y44XO}P_2X8Ini5u3hWmh*I^PCf>`aOVe`68^m#U$cfnrH$Pz38;}%ek=4~ z09R3aF0G$#@C(^?2ocRqzXV?v8c z1?_p*XUa-)sEgn?T>4=X^pnoVptHDxdbNChy)GB;bcj$~#)crt&pIbSJ3fO;YyUVX zL!Yr^V(4lKI%md}h**b7@&t?u-MA!-ZFcL_!VcE2!g3NlCLHMrQn90_AQcaNtc9** zh(lau=BM4$84|)Dd@9>4htA>+JD{g=S;MxZRI2ysTf6YQh%MV*|E=VaVsI4}w-V!~ zk-rMtt4)K-=P(UWVg#G_!d2LED`|k5!O=j&sFb|dYqe(RWw++&#H+ACKxczlFbT}% z(5h3cvjH5z(jI!q{jLML!G}BeNjMR^G%e@HNK5~eRp6pE?@103Oj&jpwy!fT6!P_| z9+=~I!(G_Gj6F~838c#Oi8wrWMmA&hpS(LQ<>+0QVw6k5g=5k^irAWM!rm9d->7t_ zCF0=%2)*NQr_@0*l}ByC%5*YVX02?8W`cq}1%AhdNITp>&|8T8I2Ng+M^9=WA@aO@=Wg8)ZapLS=4gXiqa#*xa)xRcB)<$2BN6gNlodV*-yvQ7UZMJuo_yja8w znkB61Nm_bYh`;4xuP+?vkyV6n2`S|_{Gq(`{Yj%xMPRb=Z!JZk;L+BwMXv>EN9kYgB^ zAM6YWt{gWts&=mIL7FZzNBvC~q*Fgo$qTJ4;!Q7_?a8KYDe#gG8(e$w3e z2@h5)2H9r@N9J?UXluE}?Vk`Sf7*pkGvg4K56Z%kdmKQ)WBP@Ha;6&Wc+G^}{Wr4j zReo+e=7E#7d3ABb-ZV4Xlv2_~XTqhHx1!;H&P>_i>y}`-;@#mmX;wRCwAT-wYzw6i z%(uxwz3<6490w-oy9Ew}a)Xju&nvm0cokVxEZDcxD@JMdZN?fhq;45tvU5rDl$f$A z$QSehLB6ODFTkJ9Lij-PUcgxx zb_VFs0XeGNSXpvp(a;;7ArJMpwtxUiS{}YJ0zDk~#uaYA9{cqcATkEZQbbNgLcA14 zfIxTaKTjgrr>&7x$D?`uEH-ZQ-y>%4v4{P+z1XkLV$-((e^fc%nar-|1bk|X!R7B9 zA@%R_a;@ebb`-Nl`WUC?e*l^6EOw$ZA`m(V%nG=4FDB+8H!70janGpxO>vAn(mK+1 z-0DwRLLX*A|KYva8jEh?QMD^f6`^o2akI4-uA|Be<9}fj=#79 zZo}b-dxTAvLSM=l5H>Fu=}BO~kwvxS8Pd>AiF+El$oWv+30p=nWID!x%c<$Qz1swk znglMPh@$+0+bu=icIyViw%atA*Fh(?V_9>TGB266U|CnVjyG%}tXBCnAi`5}21OPW zCUj##-*WHKMYYfec*%&UvvtXEw|{!P;~!ZoSOD6!Sz~XnAOB1}=F1j73{6dK9S4V@gM@eL+ z9n8@Es0M&{wL7hx3`RAp$>5T6PX+1sg7O(%G-dQ8;(8_9oc%T>g`F?P z4H>+vXEHEs{s^lX{b7DjNefxQx356xW7=Q3!e|IJccT-&ca97DaEL>zo*PRiFE+^BvE0~kNCFdigD1S>X zz~7ksb;GrYTfNp@cj-j3;UbRWwnM*2?qGC7Pq6Rcq6~tUjCdiGzijXKL_kq)fmZ>f z3zW~kFiI|K%QpA_GBurCwkJz3U-kPuzc;8KE)eh!00U3Sg%psRR+t7g@?@R-4B1|! zL_Ay1$QuoW1N|&YJh4&nVb8#*!Uc_@dC17Th@uuqK~`G7b|5%f2l8s7x{_&kC1XV6 zy8-Y;0D`CF;?983fRb99Pj=r!dj4dS`h1bJ_Y9fIL|DV}AHW+o>(z8H7&;lxDi9Zu zR8rD9&_HtIE#6((NUZ)^JPTFqo*a#E9X3Fh>f-AX{gwLmz(eRDR4_#=yRIZnXIsrA z-_%vgbMe6oubynSmNs^keEC*%4w;Q6TlE00o$O04rQm`}3wr3ee)IBeq~X7rNOpC@ z4%yf0{T9kCBmZ%}Azx6B_1OlPOpITq6RgVvj8XGY2ifk6;an9HyM4#5OG{E|aGBZC z5*-i<$g(bGaN{vCetBnDyiLb5E!?Ir0oRb*5p5;QQt|}dHB>)$PS#=fpZqkPNKx02 zC1dz%uptwZL04$=fK%M13+M>2f;`k#t@SDxk$0*Y00Wmi{1|=EED$m4fcpIS-`lPE37+>dZ#;?F|5H0Wf$< z!0*Pv!a9PF0wcn}TOJ_J3F}=AvA5Gf^Y~yi1k9|($84V(Ou(juNQ*;XY>!x4mi*N! zOS$oDzp|LkMrdm=FwG#?fiK3s_on_1DxnT!$K z-U4_``t_lBf}DaY6D_iN|LqVScRPPSo;~5t$C_rx%rwKvow(UB&`|mf1vGd{`of>a z9K&RQ1*p0=hxa8`tI6qY`lF;|M)u1(1EK5&PP*gC-KN|!J4`LK(S!$q#pQ$f%yVLJ7?&V>4M=q~{Q_a|si1y?zD zvw*`_YUZ)_RB@-SQ!xOGvF~rRuSWLOJb5L>_*-AfYuFA3+FxOV4hWI!N6c_ZEG-$5 zD02?G#%ve^2O-|y(()UZ+xDIA~a`kn+bDT|Wv+`jgy%UApv#C>KeU{xhxv>0crC{;qd>6YkPw^ZFvdA{$6j5L^~R;_j$a|`VyCgIyJTH{`i2roHq=3oNOlfZ_?@2 z=LYBvVBE;)qU4NTklWQuaI49w&zJ|vq3AvZoNlJ6Zte^T-5@=xPvN_ZkC5;sR=d4* z*Cojy=Rvx5I>i44A{Qro)6s)uF&jQ<)KrYSZ$WU)ykpZ$kEn?U5kwrfz zCzABf#1c%mc@>`{b%;c%HKqqaNDyOY7#a zoP4N6OZc*ai$mY#2+5}9w$6|c1R<*jyaoG@lA0e0v~*bfLo(;mHTc@O-x2j~RrtN~W3lBXK{yDo4H3wPl)_=zxPCA*ZGtqxZcSTeHYKi6q7B;U94GlSyJ z586I&Nct81;D<)!gYY7FO77HOsP9U+VwLjLa`o>1=_uLvlh9JI{Cw?l#1%2?6TSoD z0@R}wh3nE)M+QS1-p1tlUG)FW2{4Gm(n6ls4If7~Jj=`Tx`o;q2^tsa+X;6l<9PIQ zGINB<-Fxsi%JEvBiAO!rSJ{ZQ+U98f!0Pvcgx4}{>!(<=P4h=2+*2`*&Sov>E5qdO zKm-+e9?tSPHQu>u&QVf5hZp9R&6-mwY9oDgjz>Yj0Pm%M+&n;3rbGq#{@gR9O;Mtr zHX?gN2lJ5(IADw`n6x*cpOO%eefDp43OSApB-F(IE$>1c-FuuM52Tg_e698O*z6fR z%=x%3t+-lje(yt2O`@ZISf`zVR!6^lQ5%sXKXH5_vCjzF}-mCfyI&r1#1(aJ*foIupR zPXHP`C3ts6NoQslI^@uuF}kQWGmX?`cs{F!epC54c&tgp)fG-)!{q2U%VdANv%%wHk+)+Sf3!*TO@+#VBJUMQQW#H$Bo0zx%j6_t-f)f%VPjF#ONaL?A~yB)?0AXP3~B& zc`IEG`zga#N|4|JOl(g#m>$fJ^j%3h`Uznx-hR!J>{1S`fcsZ8bdo_Mzy!IP**W;9 z!PQ{4V;ZLFq+4*tI8t+#%}io7X9nDE=fW-|6h+BlXmqzPplbk}0jAKArwi5#ZVzPj z&~m&FR9umT+s-|y8?~?%yVGGfLlDviK=72{_AY<~C%j(`V_VY>4I+<_c(yE%@?)P| zmX5Wsn#3*nz~MxKHpNXYeRi5NR2IAP3ne(ZOYF)9x1UQY#^^kz!;tej&3Dq9SJ&{! z-;a=Ee;>i!lRsNItO@#FgUGi=&AP3Mo=4^;L`7fl0nlhyJsDj2cXF-M)pAdJpfq}I zse|h>ex~0uHG1sf5C$j9DekTSk2H)}e1)-yV2^;)S@jcb{<)qUuICkr(%g{tG_U=G zCDephHGYrY0d*K4G8TT6A{JO=ZndY}#7mwbj%(~KZT;Q3@T8t0m(XYse6c+ZJA@0u zC_(9C>@~`2ZafSt+Thr}sZ}Z&gSv!7s%HuI^G*Fu`orf_^1#=1^aPBg? zE7o*fMb>uZQEPtH)(Eojjk7j#8GbK3C69Lo1Z&#&JKVXi_MAi}^a=&TCXa9O;sufH zM5;i*=@z|5@=tdzS&_xI?SXv2h}Xj_3?_+DkucSV$^@PgbhGHHFM;Xt>WuW9J(!HB zd_Z8Zo?0MA#KtuOoB=PuS%9bHDFhQWA6%;@wa6JSY55`!ku#qK{o#P*RQSl525-=( z22Heo|M!TI`^OvUc-d31BjEB<)^^15#Yc!|u^`oAb84tu#~W(n@EiexnOJ|OGbDJ6 zQ+S>jU9|3Uuz5FK&WrUE+v{M*x|I153uGjN*DCKflpcz8q!FGHb7x@ioaaHXqt~ht zyjH8Tp?pn~9GD(i+^Ar`Z`Et{X5+)jl-yBiiGo8Dy;jLIXI1kTQp4ygICBe=Ow?xUP@Ld(TL7b5D!I%0RMAl~%jsYGVdk~rE|nv-hVsHUKA zOguQ-8404>G3|Hh;JE*o`h1px3t|FveL|u^OX;eLqhx-C;9DD3aiJC|Vm1a>s1n^>66Z=9dp_sugQohLNUp7-H5`efPgKJdZu6J zo<=rFc1N^hlLVa!|BtdSk8i449xt1Kh%{B)5W%gj`{Vi4=L)#*h#De7h~WBUb0I{Q zkg8=-%c2&jS{4kX>X|(f}n5C?F;@!6#`T>B;@g%)RH{lh*GazkWWq z=l0y`+;h*FGiT1soEiH@r;%mv=|SbD@9B|c@L7j?k5=;n&vE+HJ$(xH^r>voE%B*K zR<>0wzdgn*j$vEI&v$wBjL|sjI3qS|*kNKT&Q%~E`%|!PQ~+Uoe;IQv`#wwmR~!wnLdaOp+d8_p)^nvn@}(dR`(Mod@}{=g9vUA@75@$~Xeq=_>L#}(c%P~#nMU1>(UqOs&zjE4r z73+AvdLb>ge=;$ilYg;L@~?1B;!nw^4PSW6B4&@Cibv8gd=o8V04bzhWD0QQzlJ!e zd$pFM_j0%PIgj_vRQ~K>TXHIPhnW5D-tXWVa??!aw#snyek5^t48k}6$Q>*(fA&m! zG@aho*za|-O+N!Q5#BTa@WYk=A1JfyE63<62?atPyo1 zpyrchG@(W|tkE2Z@sxWKyo-}8SaL=-r%O(!{Ns~zla{0qY6sRhFtrN~Z{p@)jM`-E z>YQ9)6tFt`6+hwKy|=LX-dVi8zJ8??@ide-#H`lw5W>eFk7_pKYoWDV(>1m&R=-ar z=RK^kp4k$s8-I~f`WdbGoby;P><;aU#3w`C#DF;&(6;EP>EZ-8PU{DOI9y5?nCGsZ zz|1$9*VGJlXM)2oXEMv$%1F+rPun5l62}R9JmORdn=d49U|nBT!H>B^onAO&*8;(Y zI{wLg*_m~B^pbr}*Xq(ildVBNoZ0fae1b$V7T&}ck~a}6zKRe-Z^NMzRN#Qi*#U4l z3x`87aGv)h5)CG7yXrwxYl^4ijpbA7nUOqb;u&4~L?(g~EnN9;5%yL~HTc{q+w!sx z!KwAbEQ+31{BdP84UXp(eKV!s|6~anhaH=?NRH~ZFgz2++1}e4dW{6paEyi(Sf{Gm zLHLU5cH%lUabs^qMRLFtvTLJ>%Qf%$35ZhddCsb>SjT%l0zDs7!YlS!If7X#vfS3o z`>3osU~0vxxu7u-k!c+c5*JvGfgyvX<{xjcTfz7jdFA)C>6SO`7VK_HDjsW|CB=-P zCyXYHZWMLuky)_iTr9E?;;g=g?Ju6iz7(v-g%FIcP|%z;&b-6to-$=q#{gQ`Qj+&N zP1eE{kuS0Dv~Dd1e%n9B(0U&kb#h_ zMmj8805Pe`gjTNJTj`HAF1%|*06$%FNj}|hf>g|vd6To6Ny3FcqL|m#Zk)<$H;HPp zWzQfD%m_Vi57{)uFD}iTS4_#m215kqk_gR-qH`bumBGl|#XX!c>x}M!H)xDtH@4jy zl^lUNt8D1M?{oQd(G__G@6M^(*K522c&1-5rp-#PVkK#DT`d`>N-Xw3K({O6mYI{Q z9TBSJin9T({ApOn$<+(z`6MOklu5eTRJ>NjcijvKD+E~C>TAq%jnhy4RD|~cLttA%QR@~VUwg)kopq#!|x65{h zX7N#WU=u_lhfSNL2)#?|r?Tb2L@3m}JQ$HY_GR_5C0poCgP^Jr+EUF81(I0 z@AZ)(%VyFQh-qPP-b3W7+L?dD`&dVVqZ+c@sv+w!Fl0R(GWz2Wc_c7oJ!lHHHe~t# z4?|Wlq6B(UWHr^0l}amm%0qvGp7PkA;?`y8u{>_b3JNVpzRmY<)7zqiS(;J}S&-Ad z{1avcL)OPqMl@vEn@wQInj7``$dG0FdqdU?Le7^QnqH))1P}3|BdqvXz>@G4A5FQ! z#Yc>x)`qNDM3V$XL)J{K!5UkEaf~U3tgZWCQ@?Yc*av6or&s#Z4tdeqpq&g^X|J$A z;*>=>60ZDNe}`F$E4%vLwaixUO9s>Rb+OE}V6ax$)US9yE&0r$Z>-h?Y7P8yxAwUw z`eqjI{Rxa(GseniFtW&v4S}Gx4sC?j4uIFemHz>~HcR~WIGwa^ruP+XW(SM1A|9M5 zH{rrl92yeV4K>IpIOq9L#iy+EwCqSqbmy&4?qxf{wcNdPu;dHu9F(AdncSUCl7)RO zihq2He?$ngCL`#5)N^cWYnZ8ajljr#M{E7@1Ir$RLx|*c=gR0tS!Cq>0UKQTC0UK<{y)kX^`#kJ$kzL*}x8TUn8MxCuSQscKMO;R@wUiSdo zJjiO5Ha?toX*+^ptN#~}U7H4aGOIBpRUnvkyos+jb6PhOy@f!8o(f|1*fD(aIi*RsSpSJ$2YP|DKd@~}g5 z=Gb3c=8R4W7C&jnP=M*QBzTph_YfBnK1Q^}E3|onXABhc+M)Ns4QIMIYVws{XG6`m zmJmZBLC)yCjEb)TfC{esPoSg^;1WATnwtWfE(lV!34&DZ3RKp890xC)AM9pP{|D!% zbpKA25m3&8EB{l%m&MRfN2y3MMJK@v=cLfc)!T=r(v8K(N7#*H!I8zAX^*SfbqC!E zOHKLR^Fcp8tazU#Xl^*zZ+XVqT<#9rjs6vd=0Du7Wb>+Uz$9xEa2qrN6{c|Im$q#L zoMb_<9vp0^pJI-=#x=4bCI_d!n*`sTF(?{aET-li1AWo>SpOhPg2HP1eKDQVaqr3} zV zzq$O8<}HU=Fd%GkAQX|yqpJuJFDLpk#H&g!1K<{}{Li4&B$o)rj>#ydmRVhTG5?${ zqOs}a=C9Ux(oyhvY))Eiy3XlgndMvyXn;@Ue~$P>F$A;$KH%K2`#rvU42$i_S`s{9 z`#+cdL;uxD!(teaBe(R~3y<2vbryo;x;tW#V=#1p-vF9(Hl}@qGN%XR4WO?9AjK8J zkcaXa0b#aB4x}_O*Zl%k>Uj&4?9q|zYmE#Qn z)lzA=0RJW+OzDVq2(*YE=DH(P8ZOc>VJ1fov{(W1T%-V9jJ$|2VFE`Ev_u8M^#B^) z3wwotFmEGP;p>*-O-`Vf*ivGXjdU1OuUGX5a=7c0ie}X8XjB+B>`c+MXW=h zm3RX{*kE|w!}r2(0>U(lScO3U#haYmRe4kz9+?Xz0bzDUtV19tJ7ouXfQ@N5GF&!X9)Bi-awlg zsWh1W2_<2|9EezlK-Kgx_q%hbG(3kG2?$dkVjTk2(8JvC?x51}{N+#*5N15YIs{Tk zB=@`56rf=fIS?i~+lAE{zawXKRci#AWTPybqMqWJ`!unmA|Ei!rN@prM`2oq-g!z#q2bYkvWYGjV#{X!0e$^JOdFL(o( z7E@^`{uoNaggO4O4l(Vehq-H&{xVGelLKLDKMoX7fl^c&W)9{+n9&dG5K}$gOns5E@c>8Ts0tP)N{YDRSrD;?ezBmU;0>YenScgDI=wYr@ zL8YO5I+O&2sr0Z8fsWF{TuB)o!y=gjVFo=8q)esU+AaiaSn?VN!o+zT=y!UUTYD>& zhNW6RVZJ;LL^nCPwJ#|^U!H`L+JtHHIM5&TFt_#-1?cPdI1pyX<3JG=XdRV?Wk@=N z36tVs9e!Pu9_H4@s5Gq51{ibUai9|lP~D{p&^K>ENtiGN9tUbtfgV+WR$_k<5N5vP zK+0=!>u5^n7@SB$1cV9iI8YpKa&qfdP-$?jgOY$S&mGob!wDLI_F_ejp^`6U-L8f7 zc36c#$RGfduRWCp_lHmtCd_JwbqMr7dYJ3`2bBhoHo%z7jsyLr0*z3BR*iy^Fkucm z4y4d_uCGJ^`WD+pK$yCY1EH{hZSqoSsJ;qH0>X@SSch$DriZycg&GVsh>?IWQ5^?L zDnR}Vs5GpBe^h`lKONQ~rqg(nlk2~qO2gV$pd?I~mJaI>=nOr~_2a-oXMT?>DFI-^2g&Z>&V17J6z}ccW7JpiaSb zd-;ttt_Z|bpy5jC*QV4R4JmE5WKwGH=M$l8 z7Zpfd6l2J;3FzU^X7L{Hs`RE|eX#<3@_G*R$o_|(!#aH3*~-K9-zw#wHBb_!$1d(# zf^`VgO?kNfkW&7oHT?1C0)!obuo4=+L0uG2y$OF2rYFk2JcN%BNLgqN8?X}rIDyli zfS%msXOvNInj~3FxVd3MOC`0>K48h7Dy(c^XNUfCigRP!$5@Di1eo zR?5`V9O&tVYlmYU0x3je*lG>ytU41A_E+_Yfl1P;_w1*%Yh+SxhKbM>E(;Xo?O*?2$!YL6v# z!SffqI*$WgNDmF06q3p7csmCgHl%>+unR6yfo`QTuM^TbVH!UF=vu5ppo{6DVH15x zPF`p13IZ|*sx&INL^2QJD?<>7mN=c!8!!G zlpY#3DXUdpw<|f&$oZX0IS_UpVA`wz=bcjte-WlpdpDQkBgAwW-T=^}ROX$F15Q9M zo&U*ctV19iPy~91%Df&{C<*B0XGb7y5l~;e0iYFB=H=EwNkFfZ=KmY(5Yy%K(6D(g zm3etM#R%xtUydBdIs{VbXiIwq=)Cti(0|VRFHtQ5;U~lEw)}(2yz{l$^7=DYjS8+( zfkr4m7d!?fy>9fU18Jxb)72_ai2`&X_6-4z`K1%pAyB>w#5bD%;6Xsbe@dA(5RB1~_5Vw%cC%K81A%bUi&ZY~85K$cK?Q12fUd-8Pe2p*+^$ivgcm<64oK6o9Urp8xdGe-gVD#plO4S?BhVxxE#Z_dk8r1`sEzRG570P z9OxE$Xi#LOoV*)xt)bTy?Rupz2U56+VcX{foOjbZ9O%6s|J119HhO5-wp9W8#~u#! z{*##rSceV&H$60LYo;>qpEp5CuPdIjf&LMJkh4KQ{d5(Tc{k62l7Ob~e18DeAek z>k!l3^w99rK`QfZzX(dg^x=-LFU2|pQhM639T^2s!5!L^D(OC|6sr&j1wCl^cBL!w z?m{etY4#J>b-_mnGyrb^=oKpS?*0#y1T?3lxg6^dXdpc_Y$wz?dG}~NK6m?u;T-5* z6=*$`c>|t+k}!RI&UvBC<$n3*Pp2hfd(l+yDn0Ip5DrVzInjCl2o5R%E$?z%`#R)D zh-o*KdCwtlAfOcwR}*%`^ejCz?8+!WLuYfKZ)UyQf^`UFq=$yx*HfAIJbpJ}TDf&# zF4iFsHWXgB8wVD8d^j>%0&;fAnT1sdWWpN&qKce6^BVYzfLsr4E5Szy^c>y*&}u64 zEayW>Kov6=9LG8Y!W|C+9icMsg}G1?Q0119)X@l}w8gL|PXQWvf&+Ov_r)P1OvC7* zVb1_6^Im)jO2Sn2;Gtz$hnR-bL&KhNROY>eok~EfW>iuY0wHIEHti{=GVc{!AqeQ( z&65ZL0*#=DhCRsPpr2m-1b!1x^;rYEU=;#c@CGmu?d0UWb_o6=pqdABF2zR(^a9@G z7=F&DGVgWdQv|en`i7}khd?9gq2Xs`pz}uiIMA9+b2Ta$r2@T2W!~5uIMDZ^y8!Bb9mM|A3O(Bo&9>z&gbAGCef>at@VwZz4GpQ0>O$ zC$SEJ@M{3mFLzLx_ttk%5|FRsTaRNM0=-HP4ZplbW!?l_AqdESe}BS`K*~eIFAEeP zYdHs6_r6RYgFwjK@pT)i%$s-&O2YKR1|QWS(ChTj@XP-cpm*@~1hk>UEUH4F(F)Ms zzEtK-`WZ?B+IZiyT0f0ZffVkNHwD=v0d0D}kJbfaRUjJ7oV=;?Ind_y;g0wWU#C!{ zVXu>b^Xxd23Deg0i!b6plzi%_~aM@XDLAMcHltU-n*6B zi?4f=9vTAwQh?sW|0Ya7{UG0ubqMqpJv0Oqy2^Xs&w+Nd^U>@^ptn_^c~s_2$3Z1b zI|mjOVjTiev(#v=L= z74&C%82$sUd|Y8$`P;x*rSx^=Ju$BeQjV?)g&Yl~tE9BEd484Iiox212vkmAN^@uL z4tNIuHn{SUz_MI!;M{$B9AE{%?c^5LoXqlrY>xF*{UAeDv*v;%dSfh7(P15OE4cC( zV;y%vNbz0?ki(*)u*Fg1FOSFMFa)wlCXMf67zg(= zrZXuB%G7j+IV|LSy&qn67rX(k{3ZA*a!Z0=eYp!`1kVJ6Bln@LeIO6v)+|TvQ%5}M zm=>HX3Am8H;mTi%sIqZ^BMC;u2S4bdf#5y#pgGV`e4kItLiE@6c19L<7xzzR@EAx^ z*7LNXo6|jj4zBz!39;a@15RIQa7r2juI86On!8Ixr>}Cm8uP(qG;1ew(OsMSzkG$* zRHrXaEnj0D?{P02%)~^D;2Hk#YwYnCZI)>1hS>PTAPb(NRD-I<43W%`O_rpL0sYb* z-+Ig<1LvBYXCt*d0O;V#Uxs+8OEj-9h~bk`0<0Acu42Vci*CoopRIv&>{B+bPvB`? zpI?uHirs5bDGO?okjcv;)NO>26HKmYpU0txIH+4PAn`Mo2(#S2AY!_jL2+ddh~d*iaQpIwUUR1oECAo10D2T=ygE{BCGX~M5UJYD$hzUm zUx{_Rn=w{kMoI3_W8Hgf*v5CoqgTsTDgOLJk_lUOAo2$R2VD98MP&E}?)(N0-4c0; z&66jva>(-FUeZ22O^f?bvD799oeR>b2*iD`hu{E#I$}AG%5+a{AbPZnqGUZ|)UC z%mL}>z0Qme{IZ4w9eQy1lrSb0h*}*JPE91@h-2bJ(jVU8g1(D`8?;i&`X^y zq3mNsE@xDT2+;*z!r6cuUqT;%BSS0&PHAV91D$L8!hud-b}I8782fy@zjU}MK}iau zk{)INC+<^#4z7GpTg2d2=ApAhdrnJC-V_c?vd579BOituL<6r@}w$H20(X^^9^EIUVG8P8E@PFgkIjyVeX6j+4_)$ZnV z?OUwVyBj!}4}3st!F{#MNw%VM)*I>;F{jhN`kT(CASEsIIitEuBc58~G=uU})>ME{ z1Hi%YXiJja(@tiPblp>b%U@v|9^O~{CF|>-@%r8NU2i2IB;Y}aC?e_6Gx6l}eSi_J z{A$A8YC^cYaaxh&dEFoi?KxQo!x}ToRfkEN!>pZs#w3dXQ}5upuDxMP)AUXjcS;LvfT#NS2+%e|9f5zs zTj9#beTg;#-W>44g;Y|se&@QX*s0tpxSAYnKYYevOHdCmh~3Sn%v!De8ijZ48dW_e z=Z%D5J|nYu#FuL5&rsOWTRZ-WQ-&k_o)8L-`Oqjo9rii&AO!Py%p7q4{)pFWJ^GND z&arWhF8L#R!gWN*sm!ajP+NE4fG#d-=fDBoeh|#(W|5=ECc8ZcD!M}I0OaT?XIfh( z#Itb{B2_z+FU_@BCz7+8-@GuN-Eg_pZ|o0=7jNjDG-aK9h49{gAIzr?u|c0IbC6gE z9MbZT*Z7`&ceBQaf)1&1KxVYY)O}UW3FZvl9`Rx@pKv0nF?C#qc`JQ{SHVg#72#A2 zo~cH!@vY!BzEu<;O82T>iU5NAy_kS_g-kN9z!nNGD6M@>GQksJJP zSdw`5H{+6pW1ZrP_5)!RJ|4WO@nkM!x2l7X09)kB_`9)mV8ZtflkOxwGt?Ay^{>Je zZByr6j$lo!#paDhnr5$h)RL^LSXe2g$4k|npLQ49Av%r zS=w~z+|`}(5@$W$9m2!JvO`WE>#K%`fv5p`co<0YrX7>n&=w^KK@r7gx$MVPtb98Q zCZ;Ue7LAV9;=Q$$ew4JBn##9E-BA>iWwT9VPdL7uY0#EXb&%%YunAvCbWVAYJ9dpl zp!smzcIca|WN0W3~?851mfBLM-s^-Lak)Q8KQo3(Ec=4i&_CtkGm6mY?v zi7YNyU+R&iaZ>q~WDtYdW}-1I?miMbZa3k|-|}~OJ(wyI=Kj8$AOKuHt0mp|4_|D2 z=kQJ1D!JknzsC~}{mW>vV#FtJ+OKg`@pSrD!p^Db4?Ln5SB@M!e3Y@rI?|_TuhFR-a$$D|Q+rGXsF0Yw87>+Q* z*_D`Eo}!&8L_*?g-flvf#p_|%W79~`uG+VXRqqqAmLF7u211?@{m>uw7$1#2Z4p9j zK-WNQ)#wm>+0Gs8Q0FCqi_S0$_J=H3{Y9)5xjgQNUCs``^fn-ZD?iv4A;fo3 z;&BXKnPfHXL=CE@7&veIA0Mr`WLtKP7|=f$M~BQq*Qyi!K}pibWOqb`}IPnR8*beA`^5 z%D0ZBNn1cLpDyR@`?asqI6FjdizpdHPIf)Xn$ONUP~*9@&gC5!KepIt3t{v&DWV@V z7xMJxA0WJ0yf=esH^vO_FfTfll{O{e5OnE@SUfd$euUZSIH3@|Etb+~8|Mvb^x(>G z&{_-h$5lWccbxdx>GOgAX3iJ+@0r+@>M2$$3khkXF>c2bfD5ktgKcp__%=1w_uAl} ztZqNEIfnW6Ngimw8oo_hG?&?u4gPuvQBfK0H_;-SHEB)^IT#}3oUnlzK_G4)5H=iR z-TD^WIl&s1eLLTdc^Y@UWeixdS7S(OrJati5U8M0Yp^zC5Ju7;rNww(8WKe`wuqfD zsd1s&2_ch1KOv5*!yrHfSAMuHN{G7RQglDFmRGTo6$vv-mn=_5TqB|NjWYeO3u7o5){j_;As7x3dVv_=d?hkFNK@Zy0;|6|kc`z|=9B_^FSHuz zyt%d#r@SdWXaovAHvlTQ@(*h*)+hn?r%{TfXI%cTP@kO8H~pB=sEx`B_-D?~f7Sk3 z>p>6=(#k>LvNzx$aQPd8nEmR(!>Fg54ykMt4lNh}(&fE?2(J9!{tlrhqnr>gyX+(@ z?;|ps(j30aVsd{y_sMFZiAM;d&}FbFW~j?f-+qe8_a%Zc`L1X*(Q-@33=4#&IFme* z9?^$QzWXcapq5;CyDh|KfzF_$FsG@IcRmC3{yDku=iAe&qU?-w9t9g9C1)WRcl( z@*gaDOCTgAZ;n8#)!gt~#+gI@Q~hGz@etrJX$}ghWN^E}cU8w*Hx$%77%%p&Q5{xJ zpUNs`2*dq*`OWIC$`sU-di4~V`A2JPp!I_9gI(3Xb6J0gcj2@Zq=I)DEEa9;jy3-~ z7BdDQDKhS=Ah)x|->{kE03lrY5$*f6L4tsZm?j+t>GV4gp5q;1kQ!$`>GMySvhjKr zsGlCn_)?JI7DA#d?6NayX=({B!c(m?_9g7eiPfFqRO{*^D=@p@QOGXn)^fU_49ZC) zs=Zy977((G7Gh7?ij!>dimW(#^)r?dXF1rP(%hG7@pfGaF4-6UI!7MRnyP_7&_KXz$R}7BPe}=?~Ng!?2Ip`-g&2#~R6U z_x^A|IB{QpTQrq!-gT$d9641?H!z+II-Aymp~A`1(#&W%bDr` zuxn7C9tee)773{dn#*@;aYP9CP%Pt{=#yC2m*muT9qA~m1Ur2&X3RQg6`ONT)*Gt( z1ica8n#6L8)w%|f0Xj3X5R3pf(R@k&6R`sQD2-nRZv}BG9l!A;GTf@@1C7b3p>T zB-HQuKr*QvkS`KG|F0~B(8sWhVTjbJu=hIx`607o>8_XAux5#;a4?)AZ$i?~O@FfDEdi5lSn+0;C$(nAMx!x-tVz%3;&scqfdmPTE8`Ms zb8&J|aund7&OYsP@9LXrygzKTOl-U#V!GHv_c5bg4;>c;41AerZrd~v`%_Br*>!V4 zyRdPtz=&QgE~0f}ddSXXT^om8$OY%5hEbTZ6zl||Arw8$icMC#RBVj6hZH|!a`exN zm*#Y9IYx29azZ_gC}EV5Q2EfG!8#&Tih~XPsU*iNy9*N6-7Ylw_r3OX%4c438)K-R zi#pwUEFMvdm_mfDY1Hpl1dg@yt61#DElFic2KQrkeJL6_KYt_t1 zUR@U{hE2?UqJ_a34N3{Igt@dw2D+E zL2I`9w7B$5+R-zfNrQFiVN;l_OOiX9rF>PDMFkz(A_nnLF}&|NIgur&Bur9rQZ!zl zu*sM%jVp^@^!we8VdbSsYk;gv{ zT}(>1TKqU6bwR9R(;*O>VjnO3mff(%7WSG)dxqTjoi&NfPz}dXKQ-lwj@`A%8VaL% zDHU^S3!q?LD(FhMTdgdaxSBm;R`O3aCz%~TBlEGk{Z1xy{rpgYM9%&@l&Odk;-hFY zgk_qsIg3OpS}J|sDd~hIV6)=>I~B>!b;F6io7xT7<0_9VI0x%^k9#3Roe#Aa!#nh< z8qXSP#wYA`)#Ln<5|8|+##|FywCGBUJ1(8rM3_phxR=Ld2>#(8pasb_b`j@{qvG zUoExpm^@~km)oJ0ypwa)j~2<=fOWi+oe)@!`0(JIH`!Tl`p*i-j2*`^4BDlr^i~&d z@o zdGUVkiHKDWuBtQ(F2Fk8&q&N}CGb_Bs{5Ix`hG#Bd8YgmRc9P1Hp>8S)E+*7jqyhp4u5Xa^e(SF+xbt_1wPELx zRN9f;c_E90EDqkdTvm1i2Oy1!J_z>#I?lQ;MReLAC~}rxnVYj3r?QeTW1;4faLheLGn1Vt zH3Y+srjkY}4dUp$JY{!yPy`1)&_A-+d z=ck@aBI=TKCk&(--qV+<4HgD5<5z+yt&=yi&2si)P=B#Y_BWP4p0dhoU=4#kuoeLs zXC){0K1wf;{H^YF3;JRm^|YFYMvX+jcGw%N?zynpUuTL-QgZIQ6T_H8T=kmpn0=Aa z$(HVR8-_}c#KjE8DC^w|NZ~5D9I?YkC|f&{^}uKd)QGa)&FuWU?R8g;^^Q08yeR<* zAVg}3x>Iv+E6Op#PfrOjJ_LB+D!76$a?S!n2^v+e;+M4Y*m~wZmZe6Rwy7lQkA-LZ zW!RKMn5k&otLKu$4u1~N!Buc&TeN9Up=S_=Ck`{b5H9E(-NM zm}h&vC}W>GHw@Fia%L!Dw+dQkBz8`a`G~G{pF1gH7Vyd|V3&G@h_U!xztc6&vFQ^V z8qj@mTVl?k|lG`LF^w01PWsja><%7(jSosr@JF(<3a{@`6`(~uQQC-kP zj?P7-tVc7E^Fh zi7k92qi0#FcpHqT&IJ#-(y$2G?TL`K2;maUJNJ6R+G>_asvUpc2jEHbfjD9%HC)GQ zvFSWX@Qo}$Rkq$tm?U8E_0wn_ItHFJQ3rU^{E_hnj{a_A;%G^6kM2o>2+e>7u7Vp0 zVJjvVQ!}D&eHT1w-WNwJ_La|hprW6}8Xh(s_HA)L?c3_jz6o-;e&aw)$UAf2M4L&s z0^R^Gy6C7DHb^Rc6`q7)euQej_P?gkdjqJNkKoY zxf&&7(oS3fMs@HPeF0%%%d^<^h-Mx(9V}hirbZNZP%qSKdj~R^Ptjo<>RrW-U6y4! z`{v6UXJ&G`aiy6#umd0+vhE^>;tr?Zn+b82qAlY`;Q;hk8BjR1OUTK_VmTs9_UTNv z2813s*v|#Ysx!?13i$ z6_w^t8c*d6eW z0&H*<+};*1sPa{}w$pDyp60jhjx>8K=AW#IGc9vxCYe!cdDDsO6~=*`jqBBpcaQor zKP|k>J2mXcEZUHXW;AyfjHe7sI4$FMXm1n-I1*I?*hde&vWgvfMT|o}w0Mx3xzAz> zY3}E<0VD73JKJIe!C*9P5&FK!5?754xbEMP9(J|G5~6g_TC7!f|w7rU->O~fI*?*G$TQ|i&nC;y5&+_{!pOc!UbPj24Ef0wHoj_s+by%FMorb_)6T9%HKw# zviZc)0NUkJ@zgZEn^7IgX#o+pX}^pv=fgX^CZA#x!C-u>Q^sFECT&f$%915(u3Fm! zT0qSDYDJ5x6CEhQt!7>>E6o%8cYCDCnOQS;QZk{q)x@&hYMur}a1|h#wQ3~j$7IE= zW^E4S$jTA1mY*ag@br)=VZN~aK6ItHYDqjjPHJ5CmQ~jZi+znsSI$EQz%5(_DBEZ} z1oci)?u+*c#1CEkNfytZu^^<_b)F9fL%Ou_Fmw!;b&;}Lb&Ra~;Fq6^ zX&DyQZE_%pvaaOG=(27hpyj(EM4yHBtgaE*Nu!^18M}2+FyS3{TP%3XXR=k7_#5jj zsff?M>!XA@L4MM4-5E?&WFhZs)$tIrKN2I6OP<#Wk|SluNN>(8;eOJxMPn7H$a)kI z!Bv1_K^v4QmrpSd{R*ay8NZ5b^i1{Ho=oKRXku!>D&uPCjmC8bjaEs{G4Ksp9f8?) z&~yvNtZ$~Wnw7R-u(@Vs%Ve`8_}VKsz&$C0$op2gJ=C%V#JK^}kr_9(F;f-|GRZLtC(LHRLM^j$A90pu={f-3@fupPpomr6O$|T`{fB?;am- zd@&THjL))Dx7=e>`9g@REoT^9FvpFd#xR_42q>duChbu)kqs-hCW3Xv6Z{bm*ncNj z#oUmv4}`>mf|3bm%QJuru7W{`l$Jta0m=B#dlX{f?gx=BwqG2>{YPe0roizQj&H@g zJ?gN8r+L!f_&w92O>aGI)|1$Zl!qGZR(%8-IFAd)^8~2izJ;w+`M08~u(4t%D&dMD zX;dJjsr05ntGOULm{1BU3P=Ul!4fs9!*gFTyZIYdS@&4nX*7TBxJ}dDgyQbh^EJI} z5Mw=56OZZ}an$Z{9*S(LRd;~lD>ItLvj`G37atPYQ}H2nHMIvFeRNy+PQb|7Ja%*| zQjT~?;;*`Q0;_o_5suY7;B^|;+-FWA8EgBqNJLlEHNEq2x_IUDa>R1&lqu6Se|4OiJikdELXakxs)=le6epfkex%w>R~gis(XIKd;) zXq|2Z7o6Y1;DU45@3*#uZREbjM@ve_qO_Gto`?_E#S0uaE?7O^wyiL3t_(5Ybk!BB z*y<~?4rgZOgh&_<_p_R88UuC#O3r|XwM7a3jy}bYRvTn_K%6OV;hSBpd2>WTLN+p0nSAf;T9jnn9oF z>EGm*CZ3uP2VlY1qB7(4YX(-72?qdTxC(Ips!t0dxR_!Oz34J_*_HOnTklH^yR;8% zkpxEt@ZIpb;~KsM;Nu)&1mSBvE1b%iovQX|ck3W_#n4S(#GR3G((IikTNu5x{lauZ zy5&7#`8&kv2(1}=s&=xPU+iY7W=}d*zh;*usN3B(J?D?f(}q<{ORyl?-6T(|%?PLA z7w827$-%PhcCEv?QPvgAD2uyhDOG0-PO8hY-Jv_Cq2Y}SCnMV;%(#`*&GRA~*n&tl zmDQdr`K1HJ_eKIn8GUagm!5#T3edg`=-?_CMQF2n7{p@_ZhgV0ucnICuLhrmbbXDK zcCQK67|nc_Zj{*o)bn=B>2UJ;zB$w9UFQf*YV3D36_rmUuGwjE;Fc=o)f5Bg!b`c; z^ToC=h24m(F4?o}ki6KQy=2Q5x*`!eeO2Fq%7?)$M{OyMt1HRn*!`}c!z(#%o6>_TV1w2Y0KRs?V$h+ z2dp81qyzUO5#jVX@*>WlUsak&j!7sl!&UGa*69oyPWIp%KnVDVCE_0$YfgONiqC*o z79R#9&eSdU*#ng)W~L>`mvq3M49~{%#iSEPCk0O1|3RG4vx1fxB)OP!4rb-hKUu|4 zu{+9ptNht)7YrRDe}26!LeLvdqlW%tBum^n+UR=a$MlF>%n8h9sJeVK&yL52giOW& zx_o$CG0hzTPxdQ162klc@v9T`n9>+S5%w$}tI>pm>v3T8LEj=PqksBJ)^erzxifFC z^SBOdYG+BHNRn~u$EjZhj1K@NxC+J)#;iUBkt7bMM8J7uRy$VG&195HI;Q-lVrEZd zrAPGmMSn4IF&e8j69r-NYwFn5qvQzPQ1&q^|5R+|UT56nYF>D|DS=5hW09D?$GsNX ziHi_i1>@Sb6RgehwRA|Ua@{EwUX|naGjkHgWmKlax^G9a#rMIP9$ghuu_%8~*s-v9 zoYm!)e}Z!;#$Z4b|L%uZ4S-j`RWP1jC9q5|L&f_e<##xF_j=ZRch>f6;<2@=6mJje zC#v!RAD<3yAU;5ce4nqaZ(<#v4rpuiDqE#qRo8&w{F*?buBOoMjN*(?Y;}vS9B&HB ztE&s0J{P!$Hz$&2-7{L;+`NUDX;Nt05D)|~H{Cg~y)iIb&3Q&gx+c%j}k)HLg2Cs+w{E2^e6 z;b5FA8_LQdtbtTMJnlA?8)XYwE_B5noYvO&ji?ji`^JfCdlj-Kslw@2&NZw%djs3t zEqkrlxy0p^8W#K4<1;Z8;g&-D)7MB7&<+-8nowi*8M){O-4oq6weHB~LJ&T~5 zXZ+ISbSk+Jm!JQr(QccPykwC}H{#+7$JzQM4ZA>Xpc;kU7p!nq_x51^>U+f%F0*k2 zu5jS_ZnRo;3P!fhcMFqScL=C(D0MO9oO~U!>Wvn53$HHAR~F3Y?MdBH2ze0~-KJz= zgFyqoraOtFi#eeK6PDvy3GT!<&J!~&zBmvV3mjd_=o}q&>U{N?s(m`lHg2x)WfTqJ ztS=#{-j^buD;cP22<-{s$OQ5P&L`}MP~{T?;1;feX;5l>A_Bf2DMeSh^$}*>`3Upx zd?@OkY~A@lkPbQUl^H~s>vYK*RZDyxI}l%M?%0 zG|I5;4@qv9ZU?|F=7!87Ldux~bTJ4~FpV*LRv&i$HOzC>1F7dP=w%Ftk%{s~X5a{> z<|v5hxYpxcLYFmp;dF-*>0t1>JK5$30%2+6J?Z#icn5jvSXRdaHrJ-xJW))CYz#Ow z=iu%_|GtNKp_SO@sNRLE;C-y?OR`kEj!pua$JoqPi*CRw z0%SNya1|6IGJFH!qkd2h;C1P>mz{HUGIIXi<5-Uijkt}T3q&TNYk+_qDymTMPixprr3xn|eNDbRAg8wvzb&*ygu zCtyXZ*DSRMgz<=>*9x2%D_S*`6@O>7gzAgGjl|@!GpdXsGKp%-fXnU4{H@jN1FgA; zmwX#QnvE-F%Hv7YX(h$I;QnLt)x%PWpkN!o9zZ=DTm>JtMG3-#|!BeGWQBHj@IliLY1x8o2dNHOM6-BGpM~GZyD|{47u#U){jN`ERMz4FYdVy0} zWlqB3UoLwxe%m*hU~A8nh*ww~MXT3rLU)h;cY*`067%Oj)qt+#f zpx|W>2go4py2kEs;856wtKf63l|W+LZQqUOUS*3NpXnp$mpcjJyMu zsO{DEHn0l;r8Bm7)<-v0Fo+DCFzWip%+*2lbXw4o)Oa7xK`wqQ%|ZyA6m;Ej<&4Ye z&={6!%vuaQA2<2^jZL$r1?4at#d3N4y3-9>uDERYrS|=r_yK!oKW@Sx09@Tkw%iwB zriSG{7`^7@b;bZj!s17<;3S$y((RYX?l>3u3h}D4As1`E#yZYLQV=RhM!)U~I5sI) zu}mgQZyGC0sz=l8RtQL-YO6Y-e;z8k;VM{$=tSud9RAegty`UA*v?A3E!nsewu~d; zTa@F_D>{w{o7e36FN{PZ_@dfv)=pp=o0eHU=4jN_*wiJ{Vhw6cu>jt67rX(kg5~tC zEN%-yXS_bxNwv*mktAuyvLj&|_U8c`3AU7n$t!#f#f&-$KEyKXvM7VXhTIui-``` z!7-6+%?FP3yR}AY zvlu+2l%NxP_T9)DZw{IzFd&WBBVAP{tzl>Arbq_8`om_MruIQu5!F3#6?ob<(-%>A z&eBa|*s{%n=HU0zU(n77W?*Ks9BL1%RLvzzQcj1|`1oE&oqLWE0zOsFUihfpTDNRd zrQbPD3HUT@yBh;OfxA32hqbx44I05!dsW)U2_um5s7EsY0<+oXzeL`*zy3T=CJJV= z2ZLcUo4M2fhuLgZTg-6i9IXj3wrj5gv)MnaU^ctK7khnl$w*^JUj{v|)_CFvT5hph z0^`voKdpP@;3~_9`PJlUmjFYEDJFw$qkcw_glS}AOZRj3s(gwN|veka9G|y z^IyKmh?edq8^)^jr=yzxq$mT`5#Cmx6mu$@p5qTQ(}{-#X1rRS9*Z>AosmJw6dF#>gLQFZWl!6FCFY%dIEuMD;( zT$`7;r`Sp-n)UNlq0hZ>OXZu%Ff8#kaaXL5zMmFF;SGp5n-F2k=bfw&dpA(*b`ux* z;%2VcH3fB_L3E@*+ZtR28wsH>V88_<#8<0MfRoOj4i>A4XJU!fO*ZnpR@^>{Ls3uq zPO@*+-|?g^QP?4urLmL^zN*| z1)HH;IWc_lJmA62S@De~9s8R5XfwNh%Af^Ma*M*|wkY9)R71$hz)4nFpRk!4D(j;@ zhvtY}v8YEd9BQfvxZEl7(M~vYHh>N07KJTpXTbm{=h#sDPv*!iE61_Qx2@su>dH4_ zQrI)b9H7(edY}bYEUqaZ<^oPWzqe{FMy$kIVAtf2f#L-s)ucdWSDz9WqBD&bG-W&v zdBr2P0C{9*vXvx{13tJ4er$^uJhGk2-uU!`;F0~9+1|h&_N8<)+PonLJk!W35qGeZvHhyalgVxTMOg5GN8pLg?>f%#98KkWPCF^YF zFdj1V`dm6?BZuLgzmwh|%qk27o}z}Aow*ja>}RretTTSJs}e|KaYR zWrFAfIN>VT)wcDp{qv{0=^SGKG=(#}-D*=5nx(yNEQX3^uozd4VW%oZW>>ofqj#ey>ikS7vls+enbf6y-H|G`@u-M(*>5Vhvj!nPk4trQ7d3sqv_%M8bSEj` z1~2`PEuU+)N-JhZU58=F74uC^N3%J){3Gz}fxTBi77k${N%3CZtA0FEs_IqX^1upr zJ*ZSJ9mC3di@jVTt6qdjV?tX}n9!Ahw#|fKKQ3q{n21kb%jBDaR!P3WBh|NDmkeYr zq`sJ*9RWv&PtSU_ff^ZTht9U_Ue5L|7yGgK=6Ed9>{)NJl5dXBr}^fH4n#q%k%*Ja zMCds)yu*M_5D%+IpmL@`c32;1r-*jkV=(PXN%~d}$9p`Wf~#Pk+DNSz;e-*M5d%`q zpTLsWSp)9mH8FHNP+f6Gn}U_63M3zrEK3C5#jR4yv$jZjbo#`<_uAx!nfp?)j2dyU zqL5+4!J`X)vNDahMR(o(ZNCU4Ngr}}I5jovGl+QbS+;5{bF->yv)C-!*-9IH5$9nC z{tl(nt8n+Cvw^$MI6I5GS1hh{x*c-U*XE!R4M;Ir5B~jcK+IWi10q&g@B?rQSHVH7 z>q`^}219V%klkeJ?6veX8@Bv3v-y`N{i*nrhGj{IiQJ`>$a4^1DdYUV{AEIj6h>HK zW}qm-4F}lhJ7A|sMcu)u);;Se*^t;b*eT#T4&nYZ^1c?@4(22>DK)l531_xlcaRXX z^|vav^GL#yNbmT~<;SsBoJ>~ajb^6g>RrD@{NrGUYYv)peupL{zD9=$xgw}jbQqX9 zUh0M|$3I~4If+1Gb^Ie=q#-ubY&7HC(bs5q%&GY)psdVs9?}{tkhO;rzdT4 zQ@JM^^WkzK+Y_4EQtX6Z)lN_*67XU;_kmmv0x{0Inw1n-%^_b&zB_uL})byNXp@D>An7 zPHJE!GYjfRt9k;$#{dyr1;_plVH72qQ5_1uW}zD@15xu6sbM!P30i=5RG*CG38y=F zq-WTQJ{e*X7Lf!0PN+FyDhshptYyo|jqKD$v7s|BUz2t=*8SX=#4TJVrbm2!1scoe z`|-Aog{kQ$Vjo;|A1i*s8jy+~bjm}D`vEVZ06o65Q}`x!m~|s5dGu4k!c#K<9z3%6lvQ|kPT9b33=_uaPsM65$m$s)nzoa2b#%!hw=R72O>z*pumrY7Asm2!d z1lL$)I})OjP-D|eHK1U#RFozHGMSY8`s#r*k&j56@Mp=Vu1H#uPdlP~+A&)`eUh7$ zm{Ct0A?lD$wngaktE%VldbS{(y>8ZamE!(z@m-lfLNO@`26oIx!I#fJ32oNm1D@9u zX%uuwi%+upC830^p?--J^*0A0O0RVT(17YE0Xn2eTsSc(?xX*wwig)@trBSKR*rAY zvOz|XIkqe%S|*p`c|gEJn_bteit3cVvJlej_P9a&ITcJYA5CSMkHqz1zh`RP6Fbmk znVd8;G%5MLx3AihZ)BN(56_Sdgsnfk2$h!txlrLa{$ zD2OH{u4+yqI(!GoBd`R)z+or_(1L9pI+g8wK4cFx?|R-VkA!bgOiGJSj<8wQ>>BEE zMU=fzQd`{6fs${oP7~%Vq5#W88onI7NDobbJUkOwAbrH@i(W^kwdxMHB;`rT%zrc9 zmL=VvVF~Hz1aCT}IXt2W$d{-yZE-`a9lx7)2=SG-pJWxcTf_;!{ViOhKvN$~Fs-#I zz{tBgrFH`n%K*5Ct00YaysI&45;>d?@38J87T639=bJxpxyG3{&os)oka4H9m@Es& zj78767Q_b>BFJc+y2i<`7L@OU!E^2zK}+U4`eEFCVEM(CP?$XD{JPm0IU(QDFha`L zD;Pjfb^wPR-l5CL39S3*K&0o7Qv&CL!x>IFO}0;SWrgNa}BraV(RT;7J`m#Sa&gF#e>yV_ zHAUfo;uw?Cbw0Q*J_pF)D(ujjXp|wKeI_t#iPUa; z<|SCE%xqHW>2x|+`lr##garV6Dm=o%f<2|0A`3ecQqG-#UBhO{uh=}+W;QTiCfnw* zqbx1^4=mUou|iBEaF=#@K2*)v7S&evj0|*05KbalYj87ixUu%OC<1m79 zN_Ta{&QL{|!gJKV2FidH97Yh2hA4-3=S?0DX0XZGBFx!Vb*mn<2DRP4;1E~X9TBQT z&3pV@tm8zDb|p_5w1luG&e{RzueN8sq1q8nMKfzkwokN}$2Rt>kuzyMeku02x|=NQ zfk?9n8cw^%@n{e%0h3m-%~OqXV#=n;Qre>&=W2RXwp(j+N=`ta4?SGZ*??1Bw+eIH z;slEJrie5=Yk&Nc)&BUr$oP)5Lmkx2(1EaQ)Z7VB^x&UvAdJEc!FV2|i#ZJ^TI5a1 zWK7-=*SYnUpTn}NAabFf7i@R6y+Ik*g6jTwd3MWp!_AiUklg- z@;w6>Rbim;ytWv@AfmW75BOp)yMKA2uHl!&1k@YwFORyxnpm*;(;B#t(03N zKvONuuw*lIT7PBZFH35F*WWB7Et2r;%H+LU5= zS~qAF+nD7LxU7t;VjWEPW<^r402;UoFK&wvj(;i%M)u6Rjg<}zB&CISq;)r#aK*TQ z$+h5SHzgRcnjn=btXUf^=Ksb$m9>K(vnTyC_dz^wO|Zj|yQ;dS@DlBHn)U(?diyZz zESOm?g`GribHd)Rw|A7{HL5zNz77)$_=1-@We4h92CO>PDyC7P>fLR&3oS>Kyjw17ixNx~ssg1f0>{Wtu&{a95{PLuP18bEl$JI- zOykS{h^C?qsD-lXmQg_qRoI7+a~4AL$Ah+O)Iyc9lFt*?q_5;NUo1SgjLB%f<~tW~ ze}G`Xnn8FIG+5nM6!z5`ERLg7CRt;5CkU`#-$`7q_Scs;Ila@;<-2Ub8qCe*iV5Z> zVOvpn`QKrVtH)3Fy#Ya)-?E3(jc>;MdUU6jIh~vBvJ`qF;&xF`=A=pEd&0J&@Cvmj zVDPx%Fg9Kh%w7eLsw5Rmmpb=A6Rzko!ZP`Y?KN1kaRd`if#9)+Fj=t?Vc_B+) zZcIwaOI+?D&F5JKH=f$@HGXMyc!@KFet?ac1wTNB<7C|*Puq-}a{PZBusLLXqX-j6 z<5gE{FBC>J>PrEkjOyUoJ@h2oJ9L<^bPYZAiL!J(A7nMn>-@+GxYqf@J9Sck2okVthpJmpohBDXv9%;nYa8xmYRL3`(8MmO0 zK#c#7u{VKls>&Y63l(=o9T|7Q@95aVxG>|sfuqjosH2R8h!CQ zP|Kp0#jyoU+N5YpF^?uFP|#sjk|5$JDuaw?>fS2yIdRC<#m}x7LJZ%bazA7 zuYhP&(zq9u(?9{8CVJJ>-YgoWS^W{50ManROK$865<XK%j69brNJT$DkfTICccMo_a*!ddj;-ASN2OTUoAs z0VI=vH+2PhD$F3&3-vdEb9!@i#+51C{BDX1MlB>>k0To1GCLQdih(m~Q6h?0rt05J zfpZcNs^?n*HF{##UZI`zc- z?VN5!zw34${O`%rhIbnbP`jZbbk=RdU%KrH{0d|B8T5VT>Y^u48_BJ{z2^GE!s>3g zoL|kuzN0;NKv>;fSY3e0U6-w2Caf<0rPX&2`{%2|>QjDc^*tXxSR$;3z502)2ehAG zB&?>M4){vkzTb6&J>kFd-b>}-!fNWmF!!Y3EC2Y^Co6^3)HPwkhSmIj-}k|b8->;M zc=**kCim~Wc8ai?x)4lE3h@5ZB|q&DR#U&g&#nIR(8yL{H8u0i)dhGz_ z#tN{h?Fg$+%GsX!^{CmxYHAGr-0ERNu035?P0hrgTRnWvUhx)F3y{Z~r$I-wQKUg? z+?lWo_Qct1ib#XhS~Fo6oaNKDKkX6l?ql3EomUmCer8UOYGF0CtxVVjn2g-sRwJyY z*3!@6{oIA`9urnmONd|1pXKv|M~ISynm6WZz?)zFLiKNN6JS%@gkR0?_o#3891vDh zyTe>v@Rcw9>XY+?)zq$l)h4&+F&X`YOQb<+GVrT;Ovb!-uXr1%F=4JQIGwTI_7FK6 zH57hs_4o_cog&~(jR13X!BCfR^w)Jt5S5d8xhs|T+ zIq&l41lYI!k}RJ3*q$B2>f3&4wRhIXmBQ-Vh1CULS-$0kKEmod1YQNJdA#4~`FoKD z?<`!+pU#_qRYgg1mw-tD4OYxtvq8WFBb1gNTd;cC<~IiltI?lftuFY=8RtGI(%^t! zT3z|q3q=|nD6B5Pmud({1-2PviKE7O7jSdLJ`=qO@&KW7n2DEp7_AB3h zw4X?WXa!lY3-$yGfkjF3fUvsYD`#z7GhDzM-5eI|g4OSw{l<1-^`DK^Ca>bD>fJ}~ zJs_+`6WoGbK!fj9og>oVLjvrAudM#hcjDba->9{N1$fUr>*H4iOdc*=&Eq}q;gKc6 zYV@i6EZ+0q`i*#&XjEI66yW{-*J+Uk|5^x}ziA(yx#|%Clg9+u@RdB?HGg^IMPc>h z0_=i4S@5rW#r+;6z%Iah;n$~%H2Ak)TD|y;Z;Av=o)A_SVDiyJ3&dNDCbPBQ1*`x4 z&u3N%ux-Dz`s1&zx=C0)L|9!wgLS7L5ckAhxSBtmB@Z@D6ktR8!O!EpwDR>6!s?;M zYV+>!u$OJP_dH=W`ra(6D%ca>=|z>o>S4xeQ?KG-`~Up4sQU~TU>BTx{fxN>1lSH? zbpa*~>z{dCSUuvGRtHb(vq4zxEX13q@5Vpvt`$~4Ex<0od-?PRkp{uB&z(-e>QCEV z8!o^eDZnoH%BEBA6>r0{0&G~#;k+)5A+fDCq1pA9M;kCl*@dE4uyw?<0iZnPufL*Y9 z?R|sA{eD@1U4ZwxH+zda_=*6#V0GJM~gH# zv2Zm{gI~XK%UA)nOITfi_kX_7?iW^167Viqy{TLHNny2HSY3ek=6lOagw?P9(&{a* zKhj57{hEL`z~;Yl>lYV`w|KGuy8!QRiaO>Cu*(G4u$qVc?Z5@~!s;o)>VmJ_UOuu$ zSnUxoDOep{eZ44)rwXeJ@Q!IYkp{hmt9iV43}~$sFex`ypHxTw&O1fK`*i_!0p2?! z51c2!exq2xmBzad@UGSCZ{FD*_@ArOb^^rU8*deU0Hddch zd;DKnw^hRGIRfkg8vH4=`C?)9Tw!$q-bZsEh?va#rPZBx3=?6`7w|5Cot=E??*vTV z7ho6QeeCl+Hwvpi5MURa{PE+BA`N~htOiW@lh5B?ChlNO;cEWmPrP==SOJp-!s-G{ z$jV&3uzKMytyYh<)e5T@8LLl5-)9%y_U>)M>czrpalg;*_Ucm)3adZ*rPbY6Ub0$P z{cmBl_?2fDXLmg*tp2!gHMieq_qcW0g>~6ZWlS* z5&^b&@@Jpkd8|>uWT~)Pq`|Y#ye0giuzHz*Nx`0+HR)e#h1H)3s|!x&>=kyAv-t!} z3h+Ls^Wwt-Z2vF8?s@Z$Q-sy^!s-IN&vPx_C9G}`FezAle)Bky1_J`@g0H+FbGs-> zg1@x-!kdoW518bN`rxWx{HM66=nM+0=vMmySs4miohda!3tF=dvyK{z&C%TlPYHDoV~Pn&po47h2u;CS zU;YNEm=U$fD=I3ITB4%dW-l1>tb|i$JIXBp6Wvbmlu#$Km`nnhADZ4QcS8hfvo}Y~ zWD5AFK|UDxXxNz)QONvdXzk>j1oI;|Eph$AB`>IN?a7!1Kz z!_cdlM~UOTXmjgtprMvyRy^@C>_x@*8L~(|E`=t@%{REPVkR7n+-X%>VvZ^Tg{Opi z%`ttN<#R!fmC*{a99ltEbnuyX6$ztpL&D)QE8AsmI|tJ36sme)zyUUogIvMJ>q+w; zqA)V2zdt{u1rWnpJu_F(p9+~7KIdv# zxAi2@O(@mrTz6^8m)tq?(Nxrf9qXh(V6vI=#Iq|J8sIq!R4idi?#SYo*OQEUTQr!S z6ZT~$u5l)?TuiU8-88g0t&K@Urh2^C|0S2^`oG{NAW(QpXe2=-Sttbx>0RKo*_DlC z?mvZ2of>X8vgME04!9v}tBg z3ye>ncteiLSg8~7D`v_sR_Y*@@RZQl1iux~8^n(-VxS!5vniyl+2u^c+M1H#+_<%$ z+8i2JVg)s}DZf?q&9fFnyC7E&nKp85Y#ec7IhkHCEV6TsK?<77Ws(AdWII3u9duja zU##UwSk;RV4lG(ultq00;`707En#)4w(rF#abhzK824l~9^qzkl+c)n$pzq&0j3%@ zXB=KU`}MJ;`i;1zsnxG5zT~($ug9YW3H!;A-{gdthl(D5cuHvOM5F>BGF9(fdpH3N zj5>Go2lm>bGaAyVViYqeZS}5fVnSrTP02@au&BqX`?(Qb!T2{`=`NeB1tV~JAZ6zN zbQ#fVej3765)NrP&nWzfYgsez6z>~L=0x#`#DLj)jGiCE-}+Dv1hv6f2Zc@D-~};4 zYPQ)U3%BI4UAB?-TOTFy)&+Dz8jP@u%N#xb6@W5MK|?g+_F!S3UXn5U;9*jIh-X7p z``HM)xZNtlK=NP!X%xq#V>m^~i_AfK^6=uruR!~lG7?p?6LX3!GcGC=tx9R1bukbi z`)nHMp%}uybb5mphDHyqt$!l+?SJC7c17nYDPJP^-iQQF#0}&k7Wc)^X8ViOfux4} z%cKyE`q2G_dYvTHUgJD?m{D=tATnT(J>p&zQlfW1>c%F=mc$4Kf?t{)A_2OY=1ZeO z;>N<%ER`nI4?6H7Nsr4$rGsOX$z}`F^>@WQBy{j4O~MwY*{IE=jB`0GY5d5%C6u*G zILq=bsNH&E3aKB=%gUNdjl6ohGi%C9{xZ|}5)Tc^p!FQ>8y_UMJnG0KUznG)-~15m z1+JHEDV;U>RA!e;b3oy8K9#ga_aHkP2Kx$62@OSwrv~}t`E$D$J<><5YnJ2difTV| zX;5>x14y{BZW>wAGXn$GpMfpc3(@EFzQL7UG;O<0@`W}-fs0M!6ter_Rg81eIF|Vh zKygsW=hHRbtyirfvHug8d`HX&GN8RiPDkw%$xT-z!al8K)#*dxc3PO?3tQz+_#&pSkH8n=fca?<01FQb0$|lUhcvY; zJ!7q1bECu#hb2?8AcY_whNtA2u7IH>HI{b3z~q}q^p@L+|Ah(R5x3lIld+%(_5u}x z*jQ*X-?^nW>d~Oi_)yZXS_}khYf)gqQ!+zVELJ^9r&vHuX;iav z>;nLhG3n>J?gcc1!E%qYp>NoW#C~)%$E0I3&I$y*W#Kw|0+@6zFIgkq$^j@N>gN%t zLDXm>z*F)9{$>$1Flpd@&}RD8YT}*cbfvV*{9`gx-m=k=U1mdsfXb2ceH`AS5F8~q z+zM9Lj$PL;2m25@#aBl;yu;fc&Ij6O?Q|xx*t8)P4hxr&2@)EneIi5w={-0sac(T@%Ron$ezb{b~*^Ps$K@THBu?_-XhR(01TcI{4W*$$ij+1UO)#e%6!i| zbuhW`ESvx8UnPh9`ZQORwkqHJkdvI#y{OL!b=v8^bdJRCnFzD97Y?cmhZ5`CI_)+n zGoKgqe_G|g!?vL6gY5B$zeVo9)9JFN9()ZiXEZ(DcsD8@On;n!zfqbt6b~iv1!^7# zhQC76!(};=9u}0KO7$tbr$FiTr+t<#jf|9mq>)=n*XG%R9+uYIcJ9sq)7#f6Fui>j zvMSZM!{Va|617`+N@#dj1PQ!Zxf3`W=%DX6l9~^QOUo~MUkZ=rs#ME6<4~pgehQks zVU;S$n)Q8Xt1+2Kqrp7ofKi20ONSS)FVB#QT-2LgQIX9B+be#uIh=P3%}bR)+GKK@ z049^*|G;gf2*baa;;4p$u(#0uW*0P!+q_HIgBW%fpAcCPio05|N>xg86;NoYpt1~4 z35_ooLe7VDuCa9!amT)KCfZ|Ll+^eMOD@F;6Nc%!WNJ$!&@R7ci@UJRdMF*91sVdK zTZ-Nrb~9;28g@hXhX94b*q45A<%btP_^T=;98dtOhf;EuK-C-Kq z*jIru5gN4?4-c7=-m$!K&ACvix`yA2@7Cqh;UrY5ULSYUN>!L@Z(b(kPRQfnDWL&w z5ik&1h!*1w+WigLANP2q{n1=t$i8o#1muCS6RYO8YTD#sH6%_F;33H8p)T*d6EJ~k z#@BIs>&&a<E&3pL>-a8Q93r`6Rm74?uTLk8ES|4Ve+A6Il+oc@Oy!OOp z>@iaz53#$=P76Z-9y-e4DWSo1amxqL9WPo&Y&{x+=h$<$Q$NvMg7Tl%6jOIZ@rpJ) zJS8;NE^Z2V-*hczTjN^N{FSUp&Fj_t_pl#EpPlzS(Ny!gP9#vX*K&;>KB@E2s z&#CjvLusw0c~CZs{o(w{h~O|~5WOavfUAD9p@$*1o`WVk0|pZtw4SS*=8Fum-5Je@ zE%$<|*;f&DIkvOq2+`PCW>>t9zp4^oVxvH?18*TwZz7uKu4ye59#-5)6h|QeubBd1S^H!}P&|Qpn_9C|?=%SC|NyvIk0( zCA7%Emz6q9+73n&j`p^L{z$%aeTsOzoQ(s%EWczq3sI40XEY7r8tULc_#-?e)8W6S zI*7etd{SS!la*(k34KP-6g*L#wXHaFU|0XnteaSD1)F%WGoOL*@FjSm&ijPw26^D? zQ(!30jwwXh!86I%f<1$geEYs>Wv*xjI>=xvppRJ_#v+2EZDM_?0O}JVjOIwr5 zGw><8-M-+>L?Y81S(1hp>>%;89`6iuoCELhtfI&Zb{WJ`LOQS6a4v~&KFTK5D zcSAa2bvQy^j&4+VN@kx7SQfT4l+TQ$b)Rr6mQc#K$w=R53(Cn`5LLhP8U^EeA;xn z!~V23wtj%@49;}r(mSWiJL6f+blW4ZU`8p;WZ};Y<(bis!w&s8?A+Ins;XiAIGk3O zZoIrqU#FQaB9=g@$Qi(4y89Bc|2HF|`$d>A}2 zs?5MugMJY~sz|Qca0S^Y?4$B>&>!in)#9#9Y=*3;VJg2C-g~{n({l9uc`z7v*z_GP zyR24iS*rRvt0x0RN0yX0ehm1tif33fV>exMs{sY(-J(f0CJH)XOEkuLtONRO{g8+& z+V_!&izMvmV9>o=dsp3(P6ZP+cf{h+X7p|aRjZMxT_Z(*fDeR?EBO#9QrtAKpu%B2 z7&HHaS2Prpr}a87oE@kKR6y;vV6DM<6}I09W#h( z=-(IE3pfQs#uYdVV(m-L;^o_St&19b%GCUfZ|rv3Ay(12LAq!Vv3*<66*7=Rna*o^ z5!-;?Zoj*K(s}p!-gq3CvF-}{F7{XoTBdFp6ZN{ND=c93>q5(u-LZufI>*yN%!hF( zD!6FQ9bHf6o-265)TMD_^q|aj0y+c$F?a`0$sz$DW$LP57ZT+^wUOyRi9(|3SAH$j zk*S&*P0-ncfxOlE5jidsm5V9Zg8j`WM<2#p(b%>e^?rMzta-f@R63j1IczkPV2F8W z6zfmrEo&Zc8{2@741CR-0WD;F*vV>Sarx{0PLS!Z3medRnQY7&bVU=UwS&A7-Tm;C z{96DBbPmmQ&{_98m`R^h4=XqT?T4H%vR()jyxk_dQJ0Fiza#b!I1Z z{-Z8ubw#@hUV{k@@RWQ^Un7Wkh=GK#ofF8j0}r1`QU@RA<=LbYqYmY)ZpnQC7SqgX zyTSrLzl7?-$l}%GiGA(MoGWOBa!E4ZQM;_efz9QlTrgs}vr#i+?!-D{Bj8pteNS)K zKj`8QN$)yOaKPQ6VOPvcc&VLj_2!9KiXKt`Q}mXYNFqS$PK0b0y`S2k4J~Bz7m2L2 zC8T-HpilU(FmuYc>9e$gK_9O(YVswXc`q$Q6Q)^(FgQH2c=0HhTQ`bl-qp2+M=_qY zn0FMgWNO|rgk~tx>`HuszxAQJpwBrEQ?_?r&0x}Np2vS(zrc`--gCH->CxtW;Td<* z$rm;!Dhg>eW$|>BW)A=~cuIT-7WP8t>TrgrD+JO&0B~vtV5;xId-zk+$^((as-7df z7`)3xeAX`e0O&vf1y6||{!2fAqD!eaq&M<<@mAs(e{UifbEW*Qv43!9g-%dXI%z5& zs53Go>nS|p-hw047>QW<3Cy?pghMjC5xNMqSKaTlW$@FLK*|J)NU}|CFmS|cHy-{B zPe}lOW1Ea)hlBZIyu>{lw~%2?n>kzkwVF8E>eJ+yobA>9NwCdoF0TtmI-{x09#3o2 zriN5fIn;F8Ux;no+W$ph-e9oJ`K`mAuF8>+RM1ZS-pWx=> z{JkF_N6FP`XUik)YQXl}R2J=C zV^?BxTGe#fuq|NkVaO07IM-XrW5{Bd?y(XU(Sa8Eiy znkMn4K#kvMp_WNxtSqiG03h?YOD6*u)_c7@9^FpBP1w?~JeRW%*=EyTrkoi}qPXgDoRwzdsD?#$v^C43mwffOA73!aYhz!Xvu;6%#)iuFMy+`j2bCqcff z1g)#ZXUVmm3|~cW5F*km4RZGu^BZWz&Px_7W0 z9b>GkyFvtaq}~yF(BfA}U0KF1Etx2VzNcrD%numWKr(WwZd^Wy?p~KIV=_Nf`N0J6jIZRJEBola5?jd>GD%qo7Gx51JIc8`LZjP&^9lro7l(B zC)#CpyQEzh48>2Jlf~}rMv_Z~461XI+E@F=-U)ltQSm}u(3g1ojY)P_bJJ-KbP#S2 z$@WayTIT}DH8%I?Yj`^ohimZdhBeNVueJCaWeT7c;IM-vM)p=g7raZ35cLv4r&WH- zblSL6ww%`(%Vj)f9fISHH=vb>uGFsFJ(je755Xrjyjw}4<*fIXUGUBXjc6+(yHna| z=q)S9-B#=ic??rN+bC#3je&TB2IDHhFTUY*@KwD@y!p*<oMyMgw69k6>h)oIoP4CCmou=e>*$gXTGYa#m^B-w#OUv@25#)Ox%5iztKH5#-H)eu>j7#}~W;h8|F}BhFp+E&6fEv1ia?sWL){wUS zA}5cXfYX&L~(h4o%eUjC87@v!W@W*zYSHRQ_^V6| zZRv^)gb%}dsIPn&g!(Fm@r+~bMr0f?yDj0dhG!s|vV-2*6(H!1DP6zYkc5fh2RZe4 zg%Ve#j^GO&b{t0(2uKze=4o{s0yUHb#_ztt-x#fiaK1Zmdf3Ao=aX9?y?p#{0^#V* zFt!u!G?##aXE9x2D*z#b7#<>GF-k<}#l_RDecF(+5lt znxvM@BG<}!Oq<8GROr&{xMdG~sZ0v1>n4C}^mh+ugg zUI`gFA@0;-GkPmwZ&~Xmubz?P8)MNW+RKc%;sUyqE|Z~q@s!GM7)&Z|cWHr&-zNjn ziheH55+PtFNy(h4Um@d+pQa2KCg4n+Ha*%S@5PQuv9Gcj9+_H)KtPc+$*k~R+fehHT`{&vry4)FW!nd|*LXYm^p-R$aqXTILN zy3hbU9HA;kYWC%jBYwHSg;li~xiGl(-EM2_TR#BFIPT7qfehsI`&Zc$?%&Lwyn*pu z(^WygIwqC*#7451Af1flMFWE|$94*tQ68lgmA1kkUc9ns3Tf@`v_)gB-4rc7t_fy` zW`Sa?F-&=%VujW41lShrGV$e3Ae7TR&cX6oN67S9qCc#&)DT3rglzhnk>4_fNbU*} zL>rx$1P-Qy%Sp#UJ}|d;Np-U(mE}8TIlvJJI}qU}CLyX!z;z&8Ly2JADS^LHrU6O> zMrniSZW&&DSvlC$6nf=a zk3D=UG&t`(l|RGd`)Z8znT*|GO+7{2nKJ)cb}MPP%jMBlG~6CY?+o7T zka1KQ*W}%=S`Lg&fbfj~3!ai6OoUCkfay^|eVkKl#V${Lc~Pv{70=qOP%1kA7^~Q0 z{(W-~dz_&}6zXnRHW}Ov^<^BZ+he3fZzw!sN?I!4KN&KlfAWLo|?3e|4KG{RPW!uOO1?J zkbz-3m|LfW&D=U(yzNKO2Bos--U0lLQECz>HSDLJ|K62jK@}Uzd4G;q{np6ThA|pd@Xes*b`LBr!;0OXVPJqd!|KV@e3BU;_bvthU4@bztAA~oo zdP`P=O3RF}*O6@om0giku5&)B8qBTu6GFvTq4kStnoz_CoC`Iht>n6>Gn;cP&DpQt z=7PLeHn*rF_G(U*JX=~_Bn#UjO_jfQ5YJ@#SRZ@dB~`t|9ib zE-O(0yZeci(W?c_s8OO7E>O7kWy zg5i)i>gCGEHj?S%1oNw-^Hf6-hD0u|tBi4X0w?FD}!1x9(8zY_8Oo7PlZK;7GOKDLw)ZE2?EM4dlqy37*mzfRcvCq9luATw~1)8n)z1!59`!SG7E z(-#St!|hP@iO$P*A0hR-`R%BAn$59@dMzg`B5tsu(&DZFp)OG`c^T*#OzxkdwN!OW zrtSB?CSy^i9v%xQ-c&HU>CPyfKd5TfJjU*j5b%3|2~xN86bhRi93^9)k!@CfF_7`a zyna+MCFskyud7Vp;q);7_62>6_`eQ~CfWGHrhrElH5~o|Jf-lL;2&XcKpfy?buhB$ zUqNcFwR@$SK0zhE=#s4663E~IR3u+_kZY>6A_c<Hb>Sfq4ENB zLscm~jY8*S19+bNptlV#-f`(s($PCAD;-z(gV9}=*=%BlbS{~@({xZ~0i?mdOHVfu zHn|_9qxtmti5t3u*|xbm&%ckyE`<@Pqy061jmsDg@dt7POu(r4{|x}bQ+h^Mz%Uoo zkG?~wVR)WoTSs|Z`PLW0!7=X0^UyYd3A4`NP(>@t9#uVFjDRH5er}E~-v5CB8J^NJ z=^Gds!Tkretsm-ngUE%$91T~0k{Z$LQJaS!Obew)A-~T|?`q+;;w%bJpc8bM>C*_8 z{Rktj0%DExx|t1HU~=m1FXB<0M%WPXThj=UnHt(o>Dea*tk)fFYwSjv&$8L1=2O&& zy`Dtv+&IE@ujCYMTzz9ywnL%_)aT|*#5w!0^c;k5Fz|u!M|eulh5woiJOq&^EvQ$x z%qHiO$lMcx{F(kR^)iFo)9Y|q3M#HEC3|W4CIe|1?Tc&LL8&U{vBKQIO zHUBN{kdG8K4T{;LR`8VL;-!!j1pgGkOoj~0e)Yo;>Udb3NBAb1U@(s`?iJ?|8oEg7 z1qjg4Mg9nXgs1dZ_*);Ur0EIh5hEt~zgI}j|2PgdYWvQ7L_0M1C69*5-QW?r(qg&4 zhrM7t{6d5%;tg{Y^LmQ(%EK_K{4meMYhN@{q%%=2MH(*%% zGrz<{(`1&gp*Mi^9$wt%781SsPP_m9e}so!bF0&>HNvZ`%$b5t5YGZ6cuFrdkTkCq zcz?s-ScJKNc9?4Qj7$RYr}Cy9_fvS1!`&QxBIzp|Gff7w>!R+jf_U2a>b#t~bM0vV zcDL4kaN?q5Vpnb8gvVxv*YM=nUPE{n{t>PRbOy-l6Tc3pfz5TMd5%9+Ip1*dxZ-Zk zZp6Jdyga3scZCU2sE|RrRYyqcOyF$8B5$XX@GVBjCAeS;iOTJfw-hPVkmx_j>#|C} zIWJG?6%;s=dh{T}m_P!9j8^X=Z5`2UCfp|H!in{}Y*`l)hm}GemQ=G;1*4&iIIc7i zHct{Zq2Sqtqr0H$F6h-cg=33!aSn+H3z_U1u>)R-|9tGrP-Gb8<>eqpmO zG&)gndfb5qzF$j=#f*$2{|4V+aOAt-kMNXUjlcCVIPzqeVn^>~Q9lwrrC;cjCu}{g zmA#x%h}jjv&;ZL5ie5aX$6bT4=p`}f1KgH=N%!J?4E;_5wZ_)Se~_Ja+MlWQNeATT zuXwxH^&Y!7U;nWL!z@ic!nvGEe``WFHy$&uaDz_3eBavMM60Uh`!fxx`9hCYJr(s@ zsf}C~M(MRCNDg6Nx-SS)NS3<=2HD-pGra05BfRg>GS*}~R4NQFVQEQMfTx|IduRro zzMb^^j`({AQ?8!TX!K<`KHB$a#+XA|E_&j}EDh1*m5`O!$r)~?rd34G3P>69g^Y~n z-7?ve;1Sgx4?_M|QzGj?!%a>5%{nI3uoz?R+Z9t2Dz1&2w~LLO>OggJ7ZHm-}wZGa>b@YkITVm=3-4c)0;hL#GhL~HYY zNIY@8lCVk>_MR>U%H-xpqg24Bef>-tMKSRNz=WstdSe5SoanGYq)@%#MtKyBv=}eo zE82r$seQ|z7Qw8+yf1WC_adliJb1xhJnm-oI{S}#D}cmEB||!cq5VU=v2oGvYpT(n_W}4LJf%0|Z+#4wi%}>Qefz_U zdzVik&Wb6SM03TIRv07eoL=UVMcxaIx$d!eFR}-=C$}I_*bZId*TPGIL8##f<-G@X z0q<30{tSoit2BlTX50xY03rwD?m}*CKnQy5>-D$kNZe2_d7wuO-c`;6b8zN5aF6ptXtMj11{+zGW|w z`j>c~DbES!bDayXw%f7uULY-5ybVDzae<+!0ty4YTheR#S2r}0wE-S#?Rvw~a%Jro zS-Eg`0YrA`&@3u|2=M?T+jD+^)O?n3NQ;*H!rxO_3Au2I`b`N>Y5`PIf>qe*^2p|X zavAWY=#Qnhj^42!(Z``CAqC}ka6Ws%%-Y9u;i?~)nU%4IVxyVGZq`5wt>9**^r4{J z4*!*8pKv*(?4VR`NanGqm~R5Qk9j&gS3u(x4+jMuGh}g_b*=!;V@znth3MVgddJIT z`>j02$_a>7IN~>Jv}a(zH^Jfv7_nq>4)ND_*SrmoHRq-J-EE~LI^Yiuzk6t4#NF3; z^jJ5uyTa+@0GcXsV(~pLr>>8EU5N=+NC$ zKyQ9UKfU>94yY#!&k{Q*{!KOnGT1GqK<1LIOYwpf08|xS<(YkO4VimL2(83cohL=0 z+r@nfG*zX3BULqpQ6u2V?i=oO!A5}hpQaUn-w4tQVo+Kf&Bvg$IMosl*)k469!p8i za>0{hb_MDYjGPC;AK@uQjo2h-EVfqDcT!ZYUV@t;pbty00z6-v2C>=^18tZi@;!teuPv@ta`WnU!*^Z#7(|5$Y zBS6Q=@qp>@;>9zTle&LJZCY7frQaX!teb8lUM?R3s<6^Y%hEy)#OwgHh=c=#Oz`9# z^!tzf@Fh|A2uU&{4!)I_a6zaw%H6)~ok{r@vM&e~ z%w7xKsM@$>xE0&)&-)Uyz8&F3D^p6Tev?BWQ0Abt7a|F^R#3NJRt}p&Rt@J)Xn*aM z#tC_x8Iz5b12jgekQ_n3741Hq&E^`1se%50Rl$xo?j-;RPw7(#7cQ}`@))^+;heV-B~sBW>S_7GCUBf7+j@xm}fI%#-ltE%TgLI~)kr zP&=5N$J z0dj6l2#;yHU|F{MOA`1(V5~#dO-==U9d9?}G9K(4l!^qbxEoR`+l6Pkf>lxjk#rWX z8cgcG$dW)^L`mCfTN9Wc#T0}OoBh5q&Zh3zxfm3Ld@yfjAmA=A5*bOM7tm%trFTI{ z%|5W4%sn7T^r_Pa8ro7O8|V6`;Z@}&)TYf9Wa7}KLun;9UaII5 zw|OT3L8pU?nP!ArjCUWN(&vP|0KSU3V#uXIN4RkciS@T<6P>aCfw0dLztNH9LZ(1^ zp^!$~3Qm*M!A{Z~St@^`TJ74dg4Zy$`uVQ-Bav&6&07yGx0fE;Wihn0_W$}uII=g0v3RocC{Iz#ESzq9$FtVVNcLOGMoA;i}J5g}!{cCDDJUn=30`&27QoDd+;q&S5S+kj%0o z5^v~Xv$Z#LPikfErK-a-K`A1$%;8O}sD2e%v+VjbP7ry}bg&z7Q*}_2I;t|0G8s&) z@r6Rrd$7Kb8u$o%56-zGems{nJ4%A$YYHR0$_1(qI3puQqxY?OX!O24&l?g$4qj|{ zqKM@tjB*esn-IAJP$bF}28Oqj``3`>sy9R0kOv|pL;I1kwnu4AU3jaJx`z;%f?kFY zjon9=4m_n(@He*mp&~G|uRjkJp~HKKoP{FdjGXtGJUp>}zQ>t5+8*-JL^~(fb(>GL z^B_#B2AY^dU@(6VN|xefjg6#!InN_&9-Mm!tg!jB0Flvic~@kiraeI2yW3_zMXH@Hw^Z#&g@;rR zv(b`5Gtd?c=6YLsFNh{npI$f6)am&Xw55}JlFItUcaz$?c{HP1Fq!V0JJapOl5EAt zC2An+V9L%LUGaqWREnMfwfZ7(@LtSAt)0SBX>1v*x)4tZj~kxSH@gCa;J#jxJ*aXB z#P;2GscL94H65;7#rM#jYD)dBvfMD!9`HeR@=m8mZ)b7z<4@AT*6pJ)QDgcJHKMK9 z)&F7WW>Nds7U*UnEh+iNVV|H4YVrBgkP^{pH8e^9GE85tFp3KcpH`uzPYKPxIIY}=+$z>HwvBtSt?^tel9)jg}oOvt-O zlin~I)Zb=|jze*$$8=w#EMtOUx&Tn8K%7E!7i7C8rVv#eK@of>9nsnk{j)5tVP8Ri zFm1&Rk<&6sKEwQe?hb}Dyo2ECTHOd%PLw3_b*ue(t)*_Y%|^?*t%gIvg0{`z`tqVRMAby&Rbi#dnW$`452HVd$+UkVIFon74nvxg zqE}IFXqZAa2R-0>*&OgG&%n3n$)QV58J3VETk0DWKh{D)NXm>t@vfe?C}cslL(3VA zP=ahfa2biG`$V(t=_^9H(Qf6EOk6ZlLjg;B!>t|&(BLVpqEI=CfJj_OH&S=+`;zS2 zFB+-)&M|_Mafek@i+7!E@!JRwz#);KX8@kknfM#sVpx?Wa zi{!(2v-I-y>Q~1kpfPeynGy_PTT7efcp7s9!+jhuN}+Y(=Z{4loE3!mxp%=U;3=Jj z?}A&Se^D*q0!%UK!!tcGvUvA>XF;pM`*~3o>wLN)?Kj;eodAn*?%5}UrK!}`e)ZT< z;w9czEvu&O-s4mX(*{C;jcX%G+st)NC;III0iWr-Y6Z~DdG!tj&22m6Z%X>TsmwzA z#bcbMu)k`oVJSFW)}~{)i^;)vyCMqC@*Gunwr!nHVhbE`DfXeH#G~(PZn)o8MUtxe ztukXiyY4*$L*zg-DhB~HJ;QSS>fvPVaGt#?JsU#7mfD4GH?5jdgI3klnSdtaKGi0g z2-IL}Y0z@Pj=T6D}m==7RPc@ z>)=1WdJ)qAyf&^k?&AQF5z@S_5P|XP&cyR3kP9Js@rDSEKnA z?Ck4sw`~3p90NN8GyBS}j5=d$T`K23RFd&HjDq8h=O>`x7?esS9X35N7{OyA>wWVR zIhL)4^Q&>wmhFPPjDXOT{P?;V4Ux20dpi_&(8(N;yyfQI34qbD4^Qa_Cj%Tt+J+q0 zW%EhH0%u%m_%N*4>)+3~xRR-L-drl|ssB&Z6{We=Qp9TI#ryp}G$9k%X0q`Hi8Mo1zk4+GO1~b11JTM;t+e$0Vxi#7p1V;86O36{_qYN{##HA zLV4>}B6nsSdm+L#gyKN>BRr*x;J>C&#E4ipWwak2jqQhD;v-_utHrGSu$(0)kBBWs zc&1dOYJ!A)$fkgrFc{Q?!F)vQwcz-)lxjb8UzyWI)dY>}m&QlLKB7CUfQ^V*fUrfFom!T*UD`iH`!Qto{Xy~A8$iNS`mu?!c}-B`$e(3t&I4gNV?`jp;2&lQ zf;Is?==H99>vxxtJ!Qh}zA~5#b~ZQI6X>l`HOsI51P8IsM8M?1;3w1vSv|QN<{e6& z=GK?OQhazrmWUNlsgzj(g%Fu`zr?^%-z~rq?hiJ&03)RQM^cgEaojj0s0KPK_S&5? zItlZNHT4ItB>U{8CqptN=|wh;W1-{JSRQK45|&7puyY>h!2ppZ7%V#(L?wAIqWO3O zw47>@TN<7TrR+mKk+qjW5uxdhdq?GcV;rq#$(X$mP6w^NVCZ*Z8}Ny-0VWFu!y%~? zcX=%&($(^^yyDBCl5F36p%e30a!SPF>e#iPf%KgeT_4Rk8nocnqN<1dExGMc3dQB`JGGtl5$1BwHWqCOy9#}0F){9!9t)=%dFSbu0QrL(H{52 z$qMplP_Z0#+N?J`@u+g*At~)eM^z%5Fm;V@05a2H8YyI9zaT?W?>D?{_9dhm`wdrL z?hnMPdqwr(KUPEz@?EVY4`hrC>T&~LlYv2*iBHeTSoz;IB>aQmu8VDYD<289&kZY% zEOr}$12Fp`B2D3f? zEvv$gxOW_MI1i*P&V>2zOo{#MWLu#{bY(`G54Fn+j|@ccR`QopzGq6~^+^RN&15@)e`^V!BOizL0Wh+{U))?E2=B|*D(kMfDvByL* zzNf7vc79H?kLxB=J_?)RblN*Ny#t*o$3@cdtc+neO|lAsaWfddT4C(9&QpMl!37x3 z(aSk|9JU4?Cn_by4nrw%5zlF0Dos)Y`}e1d|O z>X)A2Jp1rOQl0T;1M_|gmYL0r>K9J(YQDKga$(TnJ+eJ(-YcY4W@<f2-WIBfNaG1^+F_A=ol%0b$t=C`= z+C78pf5(xL_WmnmW!J3lZnsBsd)^GE(ZtGXC++)Ny1Ece=z$Q_y(G&ngI-gYak6Jr zHQY(s0WfaMn1Qk=V999o3xsB9uowY>r}Rtwjnb%5->y=XWDu0DT{kM*V*5|d=eq7$ zO*Un{*3++yA$x||P}ciWsh-`7R`pRU9FKXtcBzG3fSvUy*|X>Z@O&!3-RI#ZVXYBGt-;VpZU=`!dA=-_#!0>BynT}Od4 z$*EJgUPHb9z)X^UFB46yNY4(35=vD@?^R}n&H{n7nLLWN1%ugUBFxbbIKL<(JJ22Y zuOb#7@4M8f!gAPwrJH$hd@0)ct}r1JH#ivt4un}hJ3?xj3DjvUYJ%o1JLoQi!-0d< z(>t@#2{oUl6a}4ZnkQ`(l%=mN;}S=A zC;^|TAg_Z>V3+7C6Jh?QOZv>R4L@Rk;-p~z#IWqREWO|hyMy*8mV72_Mh*g2F|~}p zJ{jCRP8J3ZvZFh3cfbY7cNB+xle2Pn%QB8F`Fl7x+AcpM%V^Oj!vT(&@M`#fjLpEa zV|_YtLLZFldW(1-feC#zR|G?uM`mPPm~Uehqy<7jORtSWoBwMmr2mJ1^#Cf@UwgsR zXg6ICe+Qn@jr8vd{2X*J1>##9`>5F(hjV>V_5+w6ZH+(ZfWOU{_a^ginP13$GpSZE;YR~GREnp zmKl^tTGk#i?|}dfp3*H8s&E5SdJgoL=Ghn0?)q{YxxcmtOS{uDrc)aQ3}fBjAT)z@v-|Qb{>E5$4ztUFq#^C@MIRYo z=S-wJ{+#m0mrS&@jL)Noec^cB6$#roOuNe~R=qHq^^6L(BiurUtQbvODxqmh)kr}n zxQeCR$X-jkMC2L3Cy_T={rsyb=qO<6US8Z#+=$p_YL)A=Bt7-tDev1T(~{ilSZAs z6957YLc?E-yZ;UxG;c$K^-P|f4h?z^1;ZVOo}LP$&N1o~30cM8$WIyF?KHpNnRbdwbn@e>mM)H_n#LpyAEAlLA&Z6ugFv#@i9DLE}tM+l9YbG!70X z1(*j!osPvDi5e54a7sni7<=JyLL3-ejyPDc2Oo8k5gfjQa%!sfNvQY1rQGn;Sh5+K z<7GRx3=2umz_;k*tQH;~;dZuc84Arlu=Xj=O=(5s8K>)@kOh(i4G?uTh&t__MC|*^ zh^Kx3tG>uo_r8htte8;}3I_$zH4vb|Q<|VqnbJ>n4JD#`7A^V`CyxkI5ogK#v z#V8)P@~inR-i`1KD}~v9-{Ws|i;bvLNYC)UX;H9KzK_3i;xQ$i4VCHHaofi60J%`xXt*&-#_ul-<|Cc90xh~M)^fD2FQ4<~y=B(H~~^Ya@HlbVJRyq&db zuaR%4d8{&xegKj2sy$sHLK~R0UJpG3Eh0%o)Umm5mfaKJZQ z?)k5bB{hHN1x$6RVH>#JUQ?mNP&$)=`@5nES~!NN@vH{GS=|eXRTTq$>I8Si0Gr11 zX(`Y3H$n@V9nS#+Mg6(~iohooy<%<0V`SH3ycp@MOiSU;6&FDU4!C9gxx85^fe@LZ z{G*8`!lZ^4PQ0YTUz26v7&TwG47mTu;>D+3NzzMBP#7uG|P_;LMG@$UffWgt`xR96>w#r9Q6JVzM zQ4?Q|_;UF$7=j~RS3!vyv*y?|U=~RWMMto>wGOX+u;Q8FwOFL%CowJBz>dp{f9uXF}#9X7~;-K5O=0 zNTT{dSEjl8!PZoALfNjdUI%&$N2qn7q?&uSs5W#+lDMiB-a0vkQ1Mk1Fi;&RM-knU zZ@hc_LDFvAlROMi;3+*$;R%EWW$Y6u9p9KG4ExN6a0r_5=Ntvip$eQ2T^TsXaXdLOp65_A9%)WX ziM7{u*syt%n#-A!d0zmCjF;xSA`3xLi~(Rm={!>Pkuxh*eGm=|sd_Jy5PWOFbdc)= zifA%2J7J)yvj^Bw@MGjDjjfr#i_}2h8>^?o_N|8^>6W?9tQ*q-6d#vj(HB56F;BYU z3BG@02HeVh&|+#I54HALmKPVzic@%*BUqLWL(*B z)%#&3F>5~2Je*YmJ>{f8%8Uo1SIXeYeNQnFHhCO08}w;3@nLHZ@QK9466RRVAzx@} zzGjO%-VCua)vs`R2l8ZtWcv0v8RRq$$3wr=%P=?V@18`y;}s<`-t^C%n0Z>KN0a22 zlL0@So5f8yM3OOXcHdKtozR&O@O$X;fGh(_4Mvfu4Js4sqB&n?@Uu}*wvqDsj(B_y z$h!bEsW9D%c7SN;v3*Z7u|=3v^MW|2r1yGjOhJ#N6)9deeYdG+T2)|z{2$}CES7Rh zT+tA6WTT-$p7@XWM)?)WMR~5xqI5Kg|EJ2=X z@RYu1z7o`b(po&uI2#;bxsJ(Xbfrb|h1tuSoqV2^}eaV!&v1ep|r#}NUCwJJy&{Q*>t0cuy_RcPvBXIUT48VmXQ&%}&ogl}sTwpR?4Ai(Q>(X;wswzQ zTDx5hnbz5`a4(PSU$;pLtbjhWTEY_A=tE=Mdp^P~VA&VoZ*+Sh)Pfb=A-#y>ti?AF zXYF+y%l@uZJr-9grP zwEY!-_xnPQisFP!CpP9oW_}tX&JOKD3RgHZ$fZf@_QdY5h<(q0_(RkFlnd=_iYA;m zY%CXvSi6i$-9P{aPv2e?D0gUJQctDa=;=Wu`T}$)ZTlpoWS#>h&Jr%5x8!|RuMLF9 zZpyDIJV9Q8@GQkX*5GN+6i1I%`BGF>acCCb}W%$~OLU z4#uo1c4RzO<$Ny=`HKwXP3;4Mzm8??mLy*e{%nwE@#FfX5c1jiFIR=w+Px%p3n zE8|%hx7@MlnXn3}poSAFUHytD>&@3VLt2nJp*(i8$s=CyKLbE``d&dn7m!L))BSSg zZ-8H8h8=3%DtwyPUQzCFp@D1#XhQkG4JL2HO<`MoB?ZfA8j!xO>8WoUR<9wOR|}3g zHTD|REbm;Pxo=An)7m440x7*7bh0t3+l-^k(z(}X;7JR7Xps|7NDO<8Hp`}ek~erM}f#D(ToI&FMs4_?weKZ&};k3|NH?X-P7zLgcQ7ZPd3!z?O>G zKnP?DIQM5k;XG!G*S#AEM3=tx|FQNZ@J$ul|BQfr5&FLK>hX0hKMGNm0^3!cG3?%-m(> zrvLQu^ZD_1%FMTC&YU@OX71cGXHvv;B(Ci zt)Q#aGUnRldp~SzTy&YiM-E84+%9EVhws@B0yTK@=_`<=XT*+%E$|6w%!sUYOU4Kr zICsJ&-;4X7K0&!b2enq>v4CqdXf3=tSjdFB;Qix51^TUgHpjuZ*Q}4}eDQ@d-H9r2 zuhFNJh^@$@WY}(zTP|B<*rj#R-Hf@7)k67Rwtvd}Yv_j_?cJRdZnftMSx3Q!s>tAMOcfeDQH#PFlO%O2~3H84gVl z&ji?x0?&l{8V`6T)UUE8g0&mq0J6aQBSW-O&L3G)+@2!4jA2N+X{@P|Q}@F)>8H4f zsb#((p4u|&6-$HTR+8~;wE5(ajx^+M$2`d%2l3JZ8o#{D;m?IY_;dDqOwxWv$Z1)9 zaMbg@fE%vfa3q}E4o6&bH{tmI@Z&7#ZNiBrrv$Y^673y?mdYT6Quz5|c-GvvLE+xf zgR?{V+fJ*ccHY~1dJU`MpkfIr*RA6rx&3gq+viiZ0dTlU1LH0=J$RQ4)4{uD99(5KJ`nRZxQlE-#Xo1EaTL58tagu0 zB#Q8^&OlVzL}f)lWvbRLyxJDN#I0K>=<2`l1{&)>3&zLkHvLC{?`P~PDDHAF*Q1~a zq36-~KiFY)NZbsBbs70dq08)gxf_rle_3ng9eA5cS*R9GE((7!7 zZeMu~Wcxx6W#Nb@R}&*e$-LvFp;$7~d65(`@4J403nO+IykXy#2rMtfYoc5`1YOD5JU4W85~>=r|}tgim`?snFB?Y|r1Y)MLP%5PAkWHO?0H9=+7-A$z^4uyTHf-4a5kN#EhV zG~TBKQH(A0{6X`h!tuvg-J01B_PzJGJ_-~QPn@J%j%e{c(@of2s^+6PB&)}_`b-ea zPYCYV2VskD>Z1X2;z&LN9dRi4&LG9tfDSzQsJUD>f%_3g5QPotxnB$Ozt(qdw*X$< zD&BY68!N7Qqc}C?kBOG>xWOO_{~fmfXy90+D;`+&tRZG{myK(RCq_H{J@?LA_EIz) zvQ+wewiJ65|8f^pEa^4wAgX6mwD|IDig%W$9CTr|;4cUWy67){Hmw((F@~DFTIDT= zolQ{}mBxKV7RC{}FkW0&FD$F$Z0Ml66V`;?Kc^s$p$cK!h>bDMeC5i=Hyw^FoOZ&O z>k(Nmd@dMYvFfU}K4FV!UtUOtxVU+?b)nl5G&gQK$5>3ha-|aY$?rMr3j)q7sxAhF z4Bpp?ug&RLXSdHIT7=JZ2$s$@5WCf?1x?zObMDShQCHpsi+xFVLO zX&mn=l5j@ZCI(CCd`t*;X0_|Ua=+Ua9u+!xol%z}U%65dc?HD2tK&K;I(0H7P#eQG4xzpezRKkeDHdpIDq?y&bShyss@NkZw)w`D=Gq35I!}F z9@W)}F~X_@GhdCY2#aqGf>H6PW*3Oytw}H`@;7f8L|yM{O*m5I_dH8d zdGkktQiq|&sdNBH#_4-r^)Cle1e4m^>FH!j>|2&AyX!mdQCDy>7B;)(-TAMoUZ zg9WS7XLTSuz`tll3TKV1J^Lq%=j?xa$X!RW>!;ho?0~dqdTR)h;-w0Xnh`QD{!%?n zsChSPaMrvXQrvR_HE&1Fp&D~MiS9YtlyVIL_SRUS22cJ_LYFRJ#M83&gy@~~32bS7 zih8!U-SCD*<0)TbX)Nd-rz`!- zXyUz+9T1RQo(yoeL7F6oBU!O4q&~+jS;o4+HW&tfTnl@dzGK2xALp>xFv}N*D6|Wm z@V+(7*R#o;2rJ$SxP(Vj1yBC-NEB<5mMRcMPK?*GeY?=`tIr#AHT;r@2O73(756v) zvS~@jIGyh!y|<3|YbO-95L{>D91l;vfl%jGm++=+C(D+{uK^#T8>6-5PuZ*uPhaaz zkpuSfQ8H&Rq{J8P&Pe`3n&|a6TxAwU;1#S>>=J1^d?e;cT@nOO?3B$;TDLiB1CPPzWOh>dBU_+f&O8C; z6gX~&OLNq#NDk{j_3s386l{lEVDL_b?~4yZc)W)ha)-*Z#c8xSpsY1e-KFIVtgGQa z&KN-WBR4gfCpHSHZDyl0wb`BWp8C$D=f1zdjac$GaU_Wget7an5t7{aOww~h>$m?U z?2NMWP|L7LGSp%V7Mt-zEX2^3z0A%6iqe=IO(?3yq%A?lWZ`0ngS9kTSN51AseANu z0X9jc;CQ$-no3-z91~#t`trw!T*_huMx^+s!qINda?;ao?v zP!5sMjg93_XTsSs&!P9I!Wvq_A^CJj80Z!PJ$UlpBy^e42q#z~bfw;WYLn1%iZkuW z@Ws;Bmq+ngMsq0j$^@h*8W71u8ZnRb3alA1Jf3KA&t3DC5U5)oFxI-4*9DbWNMA2} zHxP){xR*u3&H#K7jF|#Tm?fODrG1ZyNSO2v{GeO!@a+}vr-PNi=1zilD;$?@+?wME zPSI_xLU zA1bP}?eP{z58jMnlU%%FXO^#;G+=^L5R4_ar`QW2Q9q<9Y?@jwpdS(9TDNI| zS*S3x7I)`j2v^ebaSxpd52%KNN#z4>K9H2`pgEmSxTr5eH+a^psTS6L%Tis^SA3g_ zMx)BE2962Ij+dkpglX0aGuVi%xAs5~EQqt1awc7arZ#$%SHCSglGZ_Ue)pNltDhq& z`(&_o{B0yH+Hu?(g(v?V%p(>9?066^9CA~ETt4fVFs$qt`+c(PHOc?a$M|2DF!FsD zi4iLycN%OW;U`tF;oBz*4d!UEv%wT{4{DgA_2S1E`Nax?2g_EIU3HWal9JVgAq~3$ zc#S2f^18mlhQ6$D!|m;kaLbbU;K&7CW=p!2JzOLyb=h>8OU9OkKvgj;mR7jRP+WW0 zN}E}@vVW96M&=AD-;1!zBuhL435s&Xp$AX?Ow3c{3NId0$W**}ieTJ2#ay#xlGQ42 z6aVGvZ8X>ZTpV;y8(r$t6`M(HjscHHR;5Qgp z)!w$#;2Bif-DwZPvDH1gD09)_xxk(@Da=AvNUzB41z*|}ov&xDgZnAxtYg>BlU7Zt ziln9_P7U$Fy>qZ`PC1lNQC=V{8L#&UVQKkB`vxx=Kxgm~uub+)k1m?5A7Qb_$8H$m z@v=4%;v+fj%7{W~N`v%YGP|-8!AoZ-0xN+LS=N%naE|*hZxvhKmbS?Ry*w`#Xj}`AB4sa+Liqe3nPKqGP`nz!QtD8i!893SA&aW%WAg6 zP98ii-q&ReM3p@nlz{ZIHz%DWoOE>2KrL%7Un$gGWr_+@)?Q%^kCNxcqYGb%d5W9d zmnCdL^o>Uf+=N%h~rjzoBubG1c^|ETLM+$r?VuzOlQ|Zc>nV+V#PAa|0)rS zzh_i$Mz{N9(sN|F!@*mH%aJAw*bfPH$Ke1V*G4;ztCkn(Zv2kM_D; zq5ev(*SX=MNN_*2m>$JieL!onZoDuOCwo0nqx?$9+UIhlE3W7y`#cZxh#bj^4t8A= zWFTC)ASDc|NHX?$QhAYRpY?j?%K_SFX+LK^62ls#)kgacqls10{3Yny3-$|}T+#NC zfO2(l=@(UTOL6H4U$h2Zk=&`Eyo|#y2hv>qF(J!!44hdE!7ddzAnzLmzJ6nv{kqku zi-S&o&9dip7`!l^a*mTXiBMw;ffzjb3kXq(SF(n&BD2G@27e@&^b7U&x^8w?bod7X z<4BIaesSI#MX~{(5PDpvfmyN9UEmTg>}Bl@2#qs$@00s%%hRUfrczHZF&Vzy!DT^r zD(pKVJG-y_GPHzOKB%K=9PE!?*wWRoB!dcflY--9n{6= z*$)Jx_LHc+>U!{*8T5Wsj$C-?z(kkLPoloTUH$+}J9y4UY|acAWEy~I&fWI*(_JCeT&U4>ATJr3Y|l~5(B#a@g`Whp{ku!Z zLJ&dpg(17)G75SRmj(p%tHw{d7D06VpWT213n=~Tpaj}cxUdql5JVUC*$tFpP8q%^ z5=uKuMpQ^3x;oEpK!$|Di7vLY8-B$MP0j~Mk$@Uf@n+0I&=mYd zlkFvmCTH#%_=SLW^{yi`DT3&FH{08tpmILES_17hPnCJ1OW17ha0>dURs!vDKiUcN zkP=;zW_#(ZmoqO%0yUo6tsS<1Ufv` zL*{d=4jBcWcGCvrY_Ln9BWo*mViAI9pJng<8Z$IG8&T0nb-`1^$R8qzu067M??a3x zXOlb-j`r#*YXPZOlfCBx3fg=KQbMU|%28PhW|P9~J-sOC$44Yk*hv)wtD7T&=+;`! zPajC2NMb4x3xY5cTDNBbNprUR1u3cS*!}nI!aM}cC5730>PecjRUUVLPB~+(1fp|t z_MYPuwCymYgwkJ*t(Qok4@qHm<24lY%WV?qc>GhjIy$LlH$F>2zhd=-QpJPAJu=)|{w+=^KUnvcH#Xb(wq8dgI} zKqpUD%UbX;Da_tWxXYUXRlsr+t1j`Uat#$U^b(o>a@oj;hNa;MyJs;kKSqLH{ zLX*8Wj2W7o0ICcDo$=Pt$1w{*3-K3Cw(km(=5}2JDFL-xbLl$FLl7Og**+AaMw5HZ zz3`KOvSND&V-|uw!(V{XTO`fB7AX_ZS$D4>{fVH(q%hmJjDoIUfvyRS86- zs>$|0Pr$kPvn0^D6aTeA0xczl+5R#Lx&^BxtvheEu2cfiR%QDg6x3~)1UkRvl4c3C zoD^pJ4^vQgrv$q2&Ru6ppcNDpxQK#o&67Z#jEnb5psz$w9}4REngq(O87pgnMFhP; zLAM>0KsnKS$w)#uSw+xd3c3ULAxP`;?l?iHBZ#P|Cby7aG`V-;U?rf=6KZ8Gs3N7g zh23d-_azeOqE+u4#XO{BqlJaTX?o8%NC~BjkN0~V^AKdGg@ym3>AgWn3Fy*0E|Dcp zdK!3ILqYfVkU*Ec>0N+%NNFW4EX1aR`u4(x5K5PS^U152g`ltT7ftR%C}~I^yb^vA z&=r5ZyaBTi^bP(3poeMN8%+=aU3GiUwU~#XRkZM-@icug7g7T1@(#QH&>mrRTWI=E0a8Ng>OZHvg?UJ+mKHt~r|HXDND1iL+aBAAc?c5a z^YBd+^vVGVbln?yWN;wpTUz+=Aez2874~xphQf0NRIGP3{<+Bnjx|*Yjm9z+nxAk6b|0u?>(CP=0l6 zJLVxzE?W3VFPau1vjkMoyt)MQ5ah;RfYK38SdMuJT8F;?i0FfO-@CT#>bZewx9p)iuJ^rG} z?Q;!HC-#AqfNtwCPwqRSeE@ovf{JBJcYD#GJj_E%8);!5)JUK>sT=$xl0guejjLz=$51X2R( z)ouG;%tO!?TKMQXn!fWVqy+T9*m-AS9)fnc$nVBv2zQeEbcXE`U#4H zfcn1{y#w>GIv*{3TvVA)u`dbexv##PD}nqX=oC#WZjwL)j?9*M3edtQ@@cvd3kW6c z&Cd~5u)2LBXfRC|HA$dBujLHHJOu5hg-^_&>EcO{5=y!iULqC*{U(A|(e(4f5@_(@ zFBfATf_}$eG`UZR;4cTjzX+wFHx-e_Am|SYda@Hum#mdQ!(P3u9P}r&nVhg0M9R+Duc+ zdyo>)3nQOH1tcJ}YzS(hsTD^L0lm09yA<<~QjiutbsbHsD`>h7t0$ld|Fr*sc?dd53;T*@@%#Ts zAmf+Cb0tumf}S~(rW@KzpozafB5OfH1l>l{jgLs6x329}iFsJvDO&i<3pA}q-Uv^V zMr^+h^ALnfMqvDzIW*lQGd}r?IjA-Sl%$2vtflD>MUWCoQ+^xL3-gdtiWWX24u~JO zN+8oU*WV$6sIF;p`&~l8TP~JB(_Wp9D19aQiOILeTm63xLMa z)PE(U1T<$+iU=4%7tq4~6*LXJErCApZ<&jE2!aRx%kBRoP06H1DE<4Y*$XfaL7iw} ze{21>O0{ZazzN8F6*|hMv8)*9b4-)93MV$|09)iTCKSy*&lY1av0+suI>mz}3 z@fS_*bMMgf;8I9Qb@Q*Rl0`sN6hPG!bO?tS0e!3=`z+>Rb)9M9bAFm0L2V$Q1q%y^ zSP*m(EgT?9KDbE&eX=)3T8tnZLcr62`)GQ!C!~bZf3Dad4}?o-;ec0Z+O%8(RScg! z7xR$PrL=Ise42)3Td=U==|apy&}Fo6zy_K&7ePv@TeSBAS>iZ#Ay0qO^w>s7325=< zd&XcMQo4c`4(v+PzwUvQfIc6#Y%}H|2=xaj4Sa^C$B{Pz`r@7$MXz69mvKDlug#&ld^u!(sv~1|weVB*UU5&qJa<$@c zkISQF`KRyo!XgA+gTDai4w@!DgOpUaV$W0KF%Lo4(n9SBnhI$72*`3-N13PVL=fpG zO>XjC31l7etK7QlMUac6dE_UdRQbuGX8adcCk_DZQ3^U^ETjZfwR;Tt69nBz3Ude1 zj?QZ*E1mt)f}5}mLF5-r?x4pBIPXl^999nw$|AT~q%@I&&iWcsQr*f0HL@1qI0HEi zT0+vi_Gmx|=<8i?zl3>MT>&Y~9rPWllBbzY~ zLHCow+##ru8cp6+$Oi#!&Rek;vk*k|7W8oeR@cspL2gG!8`;N;xAC$ z0+QxEItNlx-PQrKWG#4z6y^@Cr=WjCB+#~x2FhAMRU~)laSD2Tm;~CsCxN^sRpY$p zu$82`-T$7sK?0$v0f|#B)Z`67y&|AJzx17oMMw$Pl?eKfq z1<*Ro(Butz9)1##@7aT7Od_Z+Da;)nCTX6|E`j`WmoLUV1U-YlXma&ekTh=yE&&Lo z!1h;GVIG3|k-}U(Z9v}8FD20aPCd#b5bd*E{aXZ_H|&%I`mJ9ATZ)v(xBhnc4^1A4 z|Br(cp8N`u$GLPs7(y3yYb>dNfFKK5&%080jl*T0vh92m9Ko_WtmhJc>J;79ta(UlHmLJo$?- zkNCroFg9?P8eZkT7JM!C9TQgXh+M_Ff9d%Y=g7{;R9x{iJ~s8jIdf6*FYG0IF= zh%$5CNg?#~fymGsLPoQ%8k}Xw+1eou<)M_VW!rJ^pGMc=V1&8m6fasr!v#-1sw(G# z1RhOcEAecb6`BG!B8Rxx8p-L>jmRcLOm><=M`5%T@Z>K^Cm42(2F6BYmDn~3Giof4gaZkY(XH`FNOsMIt7mA0~Jm`!k zYFG90c~o~DhMdY>hvGU$BE9x|w7I^ZPW1ghb7VyChyQk3x7`h0F83xuj@j&>5 z+n#`^-f#vz+NIrK@M=4{^0QF9hjJAFx|HD(0-pR8NSdgg>`ckuJ!JwgP6hDfe?`EO z%N2|Q81g~%W&}|_3K#1=%6c-Deq?tz_H3vL7{TSZDiR6FF@R8v5=jdo$%zp<9OL4F zNAQAQgo;M9&RMZDrG${;1Y{?d4(~c zS56UOPe=-7OD724=hQ3zm$^R+@?U|S#FA2=l|dcuZ9DnM%6j82Z0_u z`F28A>NN0^1NFg+@u1V@R!$YlE16C^R8}t@@`?#Y+5OB1TuP>jOrX4}0#8{CMh$NF zhsO$$;+V-986OVmnqKp&LVeidO27y_KP7bzt}Hm?3SOJyJ$9Qn30r<(Mul>vD14kS69@LX`UElGy7Izr{gs z%361Dy-?waCs!YdESPXgFpcqQOS0V?xbIpV{lG zIgyAou8MgKcnSojBe?c2X`kSVOpBcw1CFbBgxAfSM8?@jGEd(U@|+^Uscmqu1wouM zUO3;~=id%{U*e__h45il zd(n)F;W;+Wu1kid468ik36Sd#6QQJhIG$ahtWB2#7^j4lxEj0XhO3475iY98#2J0W z@Ep-%gAy4Q<5TtoTJYr8rPG8n#;%9Z5!<`~)_$q(p~*h;JgwKPTw;7R5Rgo;OD2G_ zB+Dka_rXG^#_gpmg`K6W>8Si#jIHf47?jxBc*IaL!S2=w+AZWX$uGOk75trbKBxZz zrxKmb^<_-!v-sLOFglwrU{Zp<;89-51g|4>B@+zuCPXT<(;!rf*NzdEtYuAb`Bu>b z*lSWuZ~&-Dw%|LYCfWi?slLZN$ri-nthR-m2fC#BxX>k}4fWm;8g$j66gtw2@xW6* zyPd|PUIWI!O*m~<#iJgTO0xFrkt_(8@u-JGi5Nr_9Jdc2gln}9bB^1dWXSJ+$!|nw z@MI_~->iYQxezG9lfMB{#WaF2AP6(P#BBWgaH$qtVivj5<}gl}sm0?<$^jr}(k`zc z&;m%tY9pbiT0w*`8p{&phofxSxkTNMTdT^PCFI({BZ$@I+i^K|$ z2_jk435V;eGX>MQe|zly&UTA$+0#D7jT-`KhYd@6ntkZT9ZI>g+Cex6YgsY0FEQiQ z&r+elqC1@vyq?6OMG1FO?>p?(d+F_ns}IyaD9H19Ht-=?c?vXf~&qLnDB2J=P{CHjS|Yv z6>-b!(7}ulaHmH#cwk}U4zELwcRApiLBEp~!VrJ4C1 z2w~Q>5SryWxT+*E`&u|U^dfLiqxzQpHq`5>E4#MI<{pQ49}5Pbd`Bw^K~nl{NL@56 z55uqU##q<}X zNNft~zG$@_RtwRMb)R1)OsW5z6U)}1J!~20TzI9JSZB1U6}NfV$)ZZSjBW}#u>X0?M0^s?9qu=GzcMu15d zP$obeWD>y!bpv6d!svDIM*G7Ap$4PZ)ogJEqpLU41I$XK9_DgF);N1*4&}~<^-}^y zC>ZDJHy~2a8;o&Yec-ZCBCzl)f7DDO_1O6v4<$X_g+xU?m5lRl%wDI8P9I|^yY zxpOz)AsDvY#>n+#We3qXdjkS*oF$`3w-=>;Ci8VfTuW_)wbV9)FI=@bHEInA22U7o zt9h;=2A5HMXLrLYzyu~t9$vVIBnh_>SyU@PBt)0M3todO#742bRertr#_01ED<+XW z2Dq0HWY~m|${56Mc-E$p+l11)wI<){(mO10iuzW)j|)>Dwg!~|>PS)#q~OW-5t5wY zhI86(JjM?;t5DGGEoA$v3RR9|Xw0fZWl$%(AUmJGOj|8N!c@ow-Zr z@hP`4VT?z~n)&6*Wc7tJhYylj@TTp8shh_a(k~Agy8q%K_nFe2q)82UeR<2aI!_d% zG&BXB7TNsHWW*g1r6Zek*jKQJi1D3u5-&N7b9;fwkEBZuO+Mw4Lv|x+UphgTjmBp$ z`SE*U#!uV=cu-}^YIpjhFHM7cdhwP+mqoed5LW_{J>1`#6hd}X3mA6BW}#-H-mGh^ zndnT0TUHtM#Zg=qDYqPk;t?fC9oj=l-+mMM#gRus2f)|G8V3*m@$^yNozS< zy4BDaOUXNFxB!xd#qS(JvSvw$;bL};m@4>3aAtei8m^lXR54o8uXi?>) zMfV?a`;k#`^D}6f409U9kb2}8Y%6JXreURhtZ>M5#={;h8>APabT}f)g=H9zL9!AD zkgm9qhTm7<$v=pBT~Qw7FgvVCQlQ$WU@iL{gu?rdlgGiyBx(;44RZo2^&|>Rpu_!B&)Vu>mlQvO#YtWIvBeOAsS3WX} zQ_Vmi2v7cDLYiB7!|i8y?H*i{xA+=CxAZzsDzWrhi*0i8l531cJia<8S#hn)X8WsZ z`I8>)fzPh_%o52js<_4@F!tjbs6(3DkF;7xg6<+D7WaU#qCK8xE$4=j;-!YLJ1=j@ zV<1>b=0T(=%3ON+31OZj^EkvY##!mRr=BSa8;-ta(ZuJXy{T}ef$E{B`+z}vg z&FLFixTU|#t@Q+=7^Du)le^{j5**Xg5R4GQoPCBb5qP2;?DGkOpgUz?>~qV^$6blh z<_%qnF+@c?l(=8nl3-`1W{zFZV|cfB!VBc^tXb1`3T5vKUR&AQ3AeV)tRsU@4$2Tp zKtONt`coX!j{+fh@{h@E%9b6huS2{n@Ml3+v5jHVwR3YSzGuEJVD{j&Z*`^QJqR2U zIv@s5{+}F|AXL~mabq+ASpV-EBdp!eyhV>(@*-V44fUivc+a6fS@-$@cgpV>n>ZCq zC7NEF=Wr-dIB{1&T1NgQ^Df&Xc+tRE3?Sk)BZXMq7?aRg_nON-dh`c#yheBH7_hMzbfe2`_md>H~Zq!1{>lYgAlAn6)31Pn6`fzfG@kO!BPT=$K0 zSZAHq#9Dd`w~|z(jY=VDTa?h_#sn;Uo%G!MiX(9D-NxT%zHyE{Wh-*e{?6<1z^9%n zw>2nB91T8x8H6XlrB%WyF=pY}Le05at+S?sH8^Nhdyk3PUEBD2Je(R^S?%%ZP!f@l zat92miDWKfNMAG;h43pp`6nQi&4mNLb)@WcLual4-#X^QP4XT^;xnE~(a7pU&3dh3 zqaTMlq@9tINKdxWMA$BCK1?pKIq=JRVg4`tPVCxt&PZ@i>75~u5XG-x5G7L<4epFc zvsRpt^x(-) z5W3u&E|E-;Nqg4#(QAd-;|Cc%g;xOTJWW>ftz9+qzWLDuN4;-pH{5rp);n@b3EX6B zskOb;!w`-5dd{;rS1*0Z?}@tc^Jb8bvL@-zsr0shQAyB+>512yh2$HY)a?`NBKC2S zrssSCA4b1O+QR$_L=uvqZVc?1Sn1}%;sH~IrCPXiwqfZ&*xPM7ZZi1{`*7=TYnP?g z)PoJ0EnR~4pmJ+HPVI$251#xaN0(TFaLP%9RP8pO5>~m{0I&@^PvC+dZay?c&D_F9 zn#WUwp316PX$ZHsu7>#11^SxU6E;`z>Te)$m2}V1&Te7Hu=yW*boeDA;&96M6yjJH zRlGprl-WosI0G}uj3{p0C+Ltmch-8?xc`osad(&X7ehAaV#WNsiuhVt&@P>Kh$Vwp z1;7WH&EE-Ie==*GTQ|7ex~+94auuCyy^~I5Z;kT(~y64>YBB?t7ez@FDPUF)u}6V?ioymx)?;lv z40u&N3^H?jfMHdWPOBb96zY1?7*;h&jb~esR+gbXP>-qwAj=H+jAdMV(mGSsf;Q^m zXtAx+z?%%mVp`{CRx-8)>OW26nXj1r7sySmWPI`8sfX);)9Rl#WW%zkZhRZt>Z%G&A2bY8YCUL6(V0J0GnL*RhAC>)xr|H=%v6@vp0@gvsa4H$#(MZ^ zhU$8T_GhA%sZ2Bi443Lo;rlZaEf(3@;DjbIE%?9Jlcwltox*aNd7!gMb^l;J9K&rW z84Fg^;>+4l^uN)bG})*c*hYJ}V*XG6hN8FC9!C5AmZG=OKWY8RH<0b3H05MigjG2| z&9tKHEalTtKvfG)*Kjf2mstU^1q}Ff>tUpkRu5MON~3C0X1q#n94)?o(iA; zsp=7RG0W87QgoGusq6V029jm5mDOcihKuWbmE1Cv;owwTGWM@e$8fPNXhksCMNAK- zDRZVWrUmN11Hq(OGPVV3ysC_;wH2Ou@M$R-|EIic+EDbR(mvSBEz%YflFI)&vjvtqCr z+Zr?sSS`0So>|eU!PuS*v{-4V|1`ZGMon5#G8HYR)>isc1!gF#J51FhYFcdL+g#bP zwWS+js9f0Je_((BfxN}RwR~kS{c*x>asM3 zRb8aUv(kVvhND*7gI_?;)x2=?cKCdZJFusvX#ag(X@&q>(H3vY*DwaDZf}}=c&6HF z4S0=(nHEb~&0m_L|IgZkiq5d8t{B^sp|~xcGjy10nEZca12*<-c&Lj(u7>;mFMa-J zDml#=T-94?JTNU+m!%sBh7C3NziX@5p0vKsBxJrUy**3|T9XY^tmc`etS(b&Uu&xl zMjHS3L6Fv-wDFcncQcl8{D}sFk%oF4u+m5?%kX!=a9SfW(&G4HTcGZPGzCoS6!x#v zc;@S2{?&Fp|Mz_Xw&iVaLh>dX_{tz>mNHfJ{~CN+{qr{zFui{msjK@Zy&fLSv`*b& zZL}v%Z&=Z(X|W=2a}q_KGw^j9_3#?jn&D!kk;e0XU8d@h*6R76w5L@gz))6qJ}arr zW!RY*-Qe-6GDaF| zp83DSdQL~lGSZzPp+Oe zWW#qp+qyRO4S8nR$lM1k<<=(3G@cpCnH$JbR`a0h5h&)!{tel%wYJipzablzvbsGu zIQhBqv}D6Ip06iO0oxih8ECPT)%>N`^S^3OT4$*$<)9YcnPu=*_YEK)o$<+8Z+LO+6h6bwS#vogHWk#Mev_BKAOn;Zw zp1&a*zMix(|38xr-&SBatr09jJ(x3=F%oX&ovSS+V|q}n%xtY{-AxnB|ExXfoyj%u zZ?s3^nI5#iII@@=bt z`P{zLMcnuQJUIWKlA_raWI-7hRs%w6yJ+0qHOGXtf3cCw4(y4ftSw7kiwQ9ZLu0ds z?jIxujnOdC>nLO0XOZ zJ}1RqfY=4dA_(2;+}8NYr?7_EuM4*JH`$zyVF z{MO+dhp~fFLYKQ`&QTZ-0WEk6+9OduC=mo}3UzNr%8PG=I7&CM@+dDABfIHSF(n!i zay}Bsz*BHeIzfnNmxbi|pR+)?V4>G~)!hl>g`eoW;~~&PDTKcHtaNgf#adjNV-jkn zL-f^19Ii>L?3&zp%abN^)6Z8Lk`P1DzvNfFE>b?dDLhgN+rz}wDCj_{1&NV}VGvgX z;#DTZsMTJGTJ7ayrTC{O9B#j_=ct%TiCP_JI-n!c6FUGRR%6uaf^#vC4So@pqE;Iq z_=UbWP+O+2b32)cS!K<}7(}feZcEXq)v?y2Ru`Oylu0ij|6*XtIE`A}f89x8YnEVe z)}M;obsM6^#wZhy1*29wTa8*>a6Ta_#p?MAMG|(4QL9}Lwc5=`t=@Xlns7H(+!8jW zNYrY3qP3{i1s8BsNdOav6t!9>)C|!VL)7ZQp>X3Wor#TFU0GqVB*vDWZ74R72t)3W z9Da$pL!sb8LY^B*XLf){NidK!w+d(7S$EdRY1*@T#$tC~S&2buVi3G&Z_k>L)yBl^ z#K6d65S@ZfBEM8IKnf5M$sxw9UJ5a*m#Sh`j|n+#f!UuW^?DMsI^|Fzb}})m3$i(~ zAciwLxM`fl1qY4`6%n&9TyfZ)@>J}PvN5Y`>a39|!&cp`^^%y?_IRr?s|#p26O|Ma zE-7a99*9}p$c5~iIO9GkW_3WX1o6a})nZt-0&s$Y|5P-cjtB`WIJXR9y3U`?MZv4t zSLK2Tp%77i?d@;sV(!vj=5MWb|GctBPfV*sbiuG}Qbd&w4f>M72i*d(}plJvy*4 zAaH@46Rso|$XT)s7ZZ9YTc+JXH;vy~ViI(v?+Ag$>1NkV(r{}eHFZlDkGZyVN+=%3 z@Y{kAP@?-{JbN(&UBM+Br)0PVoizS-^*v*RRrfHF!wyxHiNW0jvq=t_EC4kzTvEZM zYHDY8h+qKg5m}En3c}L|O!f*eVA_6OtrEKV!1iR~`8uDV#mLx^i0xmZ`R$Aopwvs3 z$pVmj2_kwXXmsC_BDhm$JUfk)uBZ(rrc9~dryGkGY&cV#RHaGqawIC+;6nHno&sFe z$~M@dfnZ_zq~8{o2->An%r#3VS(D@R%ZrVvYG~G$CpFO3+n4#i*CdHzVxWv#7JdtMl=Y|?}$c!fa? ze;kS{0X?A=@+^B5sf97cFe)NWGV)5M^oDqrQ@Qw!EuH0fmdvQYfSzJ7y@DE5m3a(MpI}1W|V{|U)v(XT}a;&d7&`>qT#oQp_oOj$9 zh&EP^vZNfVF?yvhDhJfU1(77#YYAy?D8o|Dh6(}ED?f(ll@(s0ap@;P$LQka^Mu#~ z+&pA++2%;&g9#T`a2-+=!^KHs=6cNQDn|5lbb=nVfx!SfxxId+&`{5Xcx^c44O^YD zWj&_pYJELDF1zi*yYIG^Xe<^>J~+3^H)|B!fJCt-LKhUHJw#3=tFQIVZwRpo`asGR zeKTc;n#8L$tRK9pH%G(A->_SYFvNCB(8@7@nVU5VZX}e|%8?|||N57_B9xAfYMrI8 z1w##`BO#73zeb6q!g5eZl#3X#klxIQV_aIc!R#ESHyo|0+$6XvxiyM^Ymw8MSO@PC z$phc@Hpe`PrB8k4cDe=6UE6dzqs%r6L^2{bi}FDADTLqQDFBhNlE>S>>?8!++#78x zh4LFmGe&jfl1Bm%47jS)XA+FPl|?if5y?o)q0&ezU^+RwgTnzDGZhx+k4+UeJjT&0 z7w@ToI~U{&Q*Z?>Y2Yo$9V?SFA$saVI9BFfIzcGE*5eI-d_|~84&huma-t_{ncvxI z!w}9UlOhKuP-X!J0#BR%Ln#_?d+xMmq1?<`=(1`t;5GzwR|0OcD%~CFsjPDk%p)od z;o&TBmxHr6vCjKkg6Gh;KKG$oYhrTrKus*lTIX=WK3R$GiGr1e$gM~Zbb~60xGoNd zV5Ea}-aJasZ5hQ_=hfl);ZUIR`sej{RU0gA9CG|a)Ce(>Zb45%lo=vtbqLZ|wVTR? zZlCB<4t)=sx7)m^`2o0e1?CWVLxHK!9gfITACeOz1s2>U^C(Y!@a};Zt&GU}WmmP} zuL;1-8{b%%n>V($6sO{QS4Lbo^~04evf^SmMM-gQN4lcPkQTFdV4h?$ES(^Vr~{(q zjmYY<_@H1|a*zqXce4C1s>mIh-}x*|&aPE-uZKHMh? zfSP!)HfMFP*>LWM`RRQKO<86%dRvy31%ocr()YDyGh>MZJrhpHgYw*u5|Ebe_siVM zIsmt+S>2?+PW%CpSd$0X{u&Z4Blq~hY*g&yw*tf<=>@&gNn&5oy@k!i&BE5VjAldQ z*0&NNTo?OqZwiHeTojw)3j|!-isPZl)<93a!Tf+?baBZkb=d==7_h@gjBp6gEQXNZ z_qA}S)&n8r53O<}0tc)0ff&3mNP)*;p<+uU-IhtQAFE5YWo^#n{z8eL#Lk3Ip4csVGE>DpP?QJhG_>!s;SUrjSeS-YHylx5;|f zXnVs|w-*eSe zT&wdQW075{rLJJ(+B*#T6h?85FjrNfttbRi@D!j9k_KQ`YUpZ~-qrEyuYxen8?EwN z-B#n69likeqZ|d)>2%7Q2&l^vlc*wTB2L;t!tl;GD<2pNZ3tNTgD?5x;TfcO zB&5Xp#g#4UGCT$NR*@zjdXHoObFzi=F4tM}ZVqeDKVR<=aNVNZl$Nk57bENhiqbs& zG@&RhF^GwFTd3Vz@!fjC_C3F>-8ea94>r!OibPFOyeQ3W>n_{q8-c83Q2VBn4ZA_^ zBeE9P4-}UEWboLQ{@`+GmuxcNK#?v=`^240nTM^?cmxk#5tFbhSH$!K>e8seTGGUj zaMEiW-E$8g6XqZ0Rsjt&9+Fl8F&$&2adjjqb-&h#Izth<++G0|b^Z$0qMlsU)D(`x zGN7whYaq)2dtAOFgIxw-pl?nG;R;K<-Rl-u2HXzIfID;sA=z-d%@rQ&ydu6_=ztBPY643(2nhD&WN$dvZ#m>1GpL!HN;8-A+YoQ2-E69-o2%gfO!Xf_UR8 zu*0wpTC5o(EX~qtqy8mlBvWqF!c^3Qcl$Cyyc3Qre2sF?9yh=g^(JFomos-!Tn*Jo z>jIn_Rs6$TlECX6;8k^9_*}ucAiPZvvvy9Eck_YV(YulFc*DffgLquw34J7Tm0J z7Gs`wFZoL}&&5&Z#tbyi(z0tX62ltEBt^!wHAn{Eiu2%RoouaOvt8&k%dcfM*EeWm z@v8G3R(vgsno{yApM5PGLa1_YII#3~PewbFwc!4hLdE^8Z7#o{CQfdH@ChD8xHwHo zws|PWCfH_(hmLFx&pJ_gTu7}f7Q!ieBy2oYW#&FbIqfd1ELbEcwR@P%p*$ww(^Hae zz;6FT(Cs|P_EyWlgf(FM-S73`2CO5&?7LyR3xOIu1;YtdNqa$>XLcZ$$PLdboGBRl zz$J2JooyD+qwi|TO#a)h@}7rpImfHC%rx{{6RmH7w<^39!6DL1-3SLH{vgrV6!}c$|JZ=5l zX+n94J|(!y&7qXPe45dKFRb*ss3EI93Z)z^Wq;~T-Xi$OWpgWm`th5Y^d|HQAD%vcd&b69!2lnKvSBwMyAt*ZP0|3%-f6YaMj&1J3DU= zsXJy5C&xMGT<1*%@b-zg%PH^pX;K2&@q=D0cr~3c%-lBea`M9M1BF)}FxAzMwIl|; z+(Q?;TfX(yztsv?+nF|93zzodA|{#aQH>MW>VZ9gjpRJI1K!;g9qT!R6?&5(Fnce3=NOIXw^GM zIrP^YZ58_BR-CPb0>mO$AqoO_F$ZVeP-#JcHd~0uhZZAjsk4)%6ujLHn&<8lQ ziWA)mZyyeQP(;h~Jlo2_hre9Rp$~DiReTS&dHa2oL#tZ(!pP^wb9^^(oT$(*F3&FI(BVwz5eK*P zIvC;5Djj_3h6;Y|)6DU$lF7@jyvpm~F^;y1?^l-f+`#euCr2C5Z10Rb(7cO7|HaW( zaq`;rU-Nx=T#aUFk9v7pFOGJU<3vS!^wKAJJJ`a}R`EUNK=xFQc1(?C^<><2+j)7P z;Ls{gie8$>>)^>ue2-u904;!_zif5YJ88uB>p1ioYBbCDm_B;-K zHiuU6U9!JuAct&L0 za-67SQr2bcS`OVw%?V3;w&8Zp#&*nBquJh>vnaKlqn*Q{Rh)d_-@=XJj=7o8|GsKA zXJb3&WkP@WeBVwSC!IO8sxLoU)cG)nzDPv3x=U2<`;D7PI$q4tR<&~el~ue1FUf@d zSU>hzj+0B(oUpyKU|~T8hrW!Xt!m{bdt)a!^yO;WY+wH8iVgfI#{IPa?><|_@Y#G{ zUa3a2d@rncx{%}KDh{pUd(qwt_`d8SqFe2q#h35l=ADjR)wEeoJ|DJ>AH`R5Xy{88 z{l$OAlyH1s!*QbO`7av_$~pA49BoxAmtNM)>)>^n(94Fd-N(_sJ`*R)KYfp%Np9e1 ztN32A=c(}=?He z%XXiLmRre6@H@{B{95yV4y|hC`p$EC9qgq>vl85(9nS0E18TlmPBzZFc@`({2Q#7T zcOKcyp?h|bY^4|O?ewd@J;^e2D9nax7 zc_b71=iDDkICLKlt>R?sfLXi_KB`8ut=#s}Kwby`A);HI0k`kC`e}}n$2hdAmA~d3 z8P1^}&xGFb+=`tX`UwuLYUR!kNAo)PWG1xd*SmQgd@2*#o0G-!{j`W~^?heo|IO7L z-+ejSs&Tpd-!nIG=w~>zN(cA+(swF{?#FSWlF8oeOL;r^EJs__JHBTR@|LYXM_a|W zf9~?d94F6Zq8-@&$|?>$fJ3WVxxZ77G7ddZjb<&xZ~YRb99qkvReb;c!R9_3dXO5; z(*9%HjN=?ymkE9F!hi5OI9Notx+{06Uyg>OJ%ppJ>dV7({Jahh<9hjaC17VgVP4yJGX%Q z(9_^P)R%D|8d-6%czjbAFmAeA^d&@BEVP;M6pU6=JG+Aod}RBK$htLOxT}ZJXL)6q zbI_dyF(Wv@P`B=Ii`8z8?)}K@1LwI!$YGV8GP(j`oEG3I7()ni9wgwTXrW%+C(aUd zr_N%0ypl6}x-8?&b$|K<^y+rHdMmK30Bsf4pr@i%)VE)Oh4W&M^kMpjr!XtCE(`;LODW`T$EEdI1vxONC zP;}zLB?~+SZy;R_*K6QKCmt}Fbp-snkFd&G_Oj&H?I~9Lx{-Mkkb$S*O+t{Iii<0F3XFs*%8XGV@Y&5me@l0X zrdpVB2It}XeE3uGFlyA}nU1GhweVkOJm`Z<0(c50rqevTgDY`A@!{OOvRc^sb<~$i zY+Y%!%pks9c+O?n*`rK`=<01N9nK;==fXN-WibpF6XJ}cWcv;w3-No~bohE9l5f<< zR!45MSsTOG>PkewybrwP}i@D#iyGYr~8PdmXemk>Pzx$iJI zcO5*;I(JPvc)obn2OOytf3dTGBrbH|DVUT_5{71KR5QwT!8Gt=ecO}@O!>_0w2#|G zgy3@7D$6Iugg{e;DdbA|13gEjyuO*TgxRw`lL>W6F+mr=E89&@o~|$XPAFaP5dyB# z@8gy|rQc~yrn|W#x#6%=K9V~VIF?4`6q#dL6Tk^FZe52QZ{Bu+VBWfo6~gX@x9#ra zX#2udUb7ocJtY&)48jV?yzkSuu#A;=9wILsKQF5qiE z6m)CL8B4zUfYojDFTL-1j{z;Y&B?kJDwh0hLX;aScJM14o^{Ue6NC$!qQNdd7iljD zkV8+h?MftUc6m-jV<=6w@5n65g9Og^M2J)6FKbf5Mre<7L%T>~KU7h=KbYtpsM(h? zSnCoIYwZkQi#BRdf@GlMzSK4Ea%{qc1J0TlAp=~B7n~d;RGehHrhKe8;S?Kva!3aQ zP{VgLcnV6@)XwgJkt{}JX##?9_7VF98|~5djj7mp!X*aVSzZ+n7nhFlX@ScccPh?> zd67D3y4-qsbhPgfbfM$%h^&1tK-i5DYzL)sg2_P5=g)gF>_$A5P{MBDSqMo{XCP5g zQSqG~o`RW}Cn>4}0y?;1I)`X4gG&XyIaVC1NhC)L!>4#i&>KmwAP$FHITi5;RDt#f zo`P9O4myl#0XZ=Y&bAJ^k}3HaB60j`2sF;vruczxdCcR5g~(6IVC{6@M6aiFyTLG( zRn#tZxm(IUeaZxZPj)p~97&;UTR%ZNc7x8HuuQYp8+Pb*MP*e&IH{a$N0TM>%X@?o zNf}8myBwp)lrVSWCSm?Y&Lg*B)hVal)>zsj45um4eKrxa$y(_GvXa&MS9<%-?hta~ zc>$QEM;{X!#_9v6VGU!Pu28Lal*dF4V9E2M)8SN(7NNhd)HUxTU2)w~2*1KpPzI^I zZV7gR)mzBijH)$jR2LyNXB2yG<>lm=ZhImGuIw$oVx1Dp1W$8IGx}_#CtDE^33%uy zz%KS0k#%D5R3SNpHMb}2MG>ccPt!jp8?-5y(XH;(eX{k#Ri-47IfSaplvpA-`@%}$ z-fCg#eOgm0vGiVR+*-TzUXRDX1g!}4Y>Fh5<50M|Dg=7)6nsGFG93s9DI(KI2cB44 zFC^EhmI=kuGQn$*2P#gwl7#0XJyH238}o0>laxOQR@y-$WnH-WMq$|28@wL(mh0U? z>x?XpFgggBgfG7r4t}XR zH4s9P0Gqm~=WU$I4)xI;N!aA2J9uuJTU2~v18ttvbkZZ^SFupph_nH{W2m`foB&m|Ltr>`{DmEUa( zd;49=1V{_tVRcS6Zd@Akf_ZhNT*|3KJS-wD>pmg0neG80pf!c@RnmK^P+G`LEQd3wO{*fy-{7Z z0?R6`q9EXHSs^SNYYeCnumnTm8(L_q^x5zwa3Zkjz~E@N~;fXkaamE&6KFdQ#n-PD#~L zBAZ(JX(;G+;tCO`g&56cw#)uDap9qq7rz`D zARHNRHb62@sJizup{YPKhg)oz(nU5+sNLohPS+g$*%j9Vq`mIAF&EP^d5=umo`}F^k?TJVTb^Z+q}s!(Wd@jnvuHJ`}%R~x#cFtM|SiJ)cpcFN(nA5>w2nIo7Dn(M!V2F>_) zF1ftzouh^lHWtQ%auS+Vg>0fn%kX^5zvw=%n+)<-{|hpktWa(BmtYB8eg<*>l(6R ztLqKTMoP)V^DDk=^9E6g#|*(CI!Zg{F)l|?d=otapb4QhF?<0o{JBesZ^|{Hy0$xo zjA!!2L7zjGZF3WbG^;(KUSBZmO!GiFz_?`P=`iD>PDSjTPhgtI+)sEe*;D^YT{bhzIQ5Vm_9H0_)v>a9 zlW>(q2-K8}N8?7brRtz0|ZZvbsHE;sE@sjB9J@zuI$q3T8v_{72W2s>gU)Rk^6q>i7&3=gU3> zKqgb_5YXb<5%5QNhD70?HVM)FGt4n*`}+{mp@kbdPHM&!SM|>{YDcZm=1Vv3x;M2t5jk305y;8*W?-y?(W4Y6^-5ydwEDyA*r8S-gQRPdp*R1R ziU|@4F?*=LCj5=b;sUtm8MG*yAU3|Ni^P|@#ujdSp6G?dy2Mg1A!r#GDmT^(PwJz9 z1<#OX1jRQo3lN5X-Fr|>-_tf6Mjb7}Ff!Uh@7oH)XQGC~d)ubPxH}-XQ1|ie4(_@Kn zP<@T~WZPoJ_|kpw-@!BFb9;TbTU2jCYDh>>GwX+I2a)ipYk9w-`U`4Xm&-;tc#myc zV+fw9_l>;~A+(^HhT)tKVLH!;oLE}76-IcZEAJWS$xnvCM5-FGwJPopjd|*77GAX`)>BaUO?<$3<@X5guh`5VTU{9_(7MCN-Cl zE>f=Z20?k&@-ag&rPU@d8fy%2i)*PM%V46Z`de63(VB)=Nkbwps}1W_J-2Rcnr2Px zs9GdZunlblWWw>^_6ltt06`$Y7z8lo*E|OUJD%fr?BKi zx80lB7j{M5bG>Whp92a7Ih( zfXYPsHj3IqZ5yg};&#J{(|7Zt=A`r z%VUxDLvP5QJQsb#6jioh2Oe&RF#$sW3jzg0cG?T(^jpj@XXfrtfV@4lfhWTMNB_SG zb72|g|9{3{r+1uW=`24d?onzo4fR#;*TYyA$()|PsHBTj-x!j;?bSC55&dbZpD;RC z^-M=9)L302idrcUj2Jm?DiW`u{$o)Lj}x6;2O~a32!V_(YAw5plwae?rPq}Yj>OaD zS9tPdxGOlP3Nc%I-vdi#meJStlI=qZS;&k^1@)E{;K*-Z!BgyH+Y5EsSlC#y)az}) zd{&~cgjoeB_(lRAJVSP!4p$dValNIRq_%5DsD1kng~Y7V>gnN>t*-a1tQX=L-@%EV z6uR+(Sa9ZX;wfUHJBcr_>}wV#Ys23LQ+xP~e#!+9D5fdTk#|fd!>6Yc!E7Ou8xEsG z&@UUOSH4qF)K5Mr`a^g@I1|co@(>fZyD44|`7OrG^zWi`*O2P-c==tKXAYg$ z>o|0%av9(rYk?+rzyiT)#{n5*i@J`#O7g%~YQ9^K=T2l(IKR(MWl5swBn=Rd$*H~e z3OUx0A|R^sjJXx!!`$T^%zbOOmdUv-g6Y29v7HS6 z+MgMj<@~}c6L>Z8>Mvs;H(J{!od=pSD^$az^j?+A*=)^7_{p<1_2sl)(%9o zn3b(OjlVHw#X-&njb?sz^9}-Yi5sW<18Q7u{W2iic0u-##$Azp?)p z!Qj;D?>&&=o&4tRuuN<-ZwS1L3A_XLyKL&icL>xBeXekVOfkZZ9h#mPHCaoha6iE$ zhf<5~ZLjDsVJ-1VFi0;nFk+f*rA&E`Dy3 zKb&8e7$yo+Kwrz@v=ja81JF+ZBs@bh6xt=>!TP83M1civC*GDjb3U!*j!1lJaP#eH zf?8>m-aJQ-BtB`I_ee6>*))5pn$Vl#vva=Ct+vsAHM|3i9cu6#>HQ9HFhwhByX;?U zNX@^-a4yUAO$v&ta(Q%K4mnjDs8|_?9i@+|RUt4ZKD3!Mdrr{xA^0^DqEV;ns^D+F*kqZzf z0nLZ?cjuDux!z_*tI_1{35&3F8U9sJr5I%>vu_{}mK)hV-ya3szl zsO4&pfX%!BG_147Ul6Rl;GUwBoZ18!mMwCEJVD?CFHgRk7l!wtBT}P zG*^|-oy;kkaFC~>xvC#}1A~xLOvax75ag;J@ujq?hohNUsj7$kI7<^m2o!A{tY3OG zqPABJ3_#52?D=IBv#=mxa(XyJgWJQhZ4b7R95{>8QUNy@b#Ob)vM7)Ord>Fvs z8FC6y@m0)Th66h60_5>O?;^yvCnq+GiA>U$^o4+6Rt|6b`i6)xG2ME%$4CHOq7mIu zFX%fmu}3I)PxTUy(r93I{*y3t_DNT)u1!DAOoL$B&f+U5k~CLk7`CLsJ!G=Qu;{?$s*35m7|C&7pn=KTu|8)5hKx-Lsi_jHzbsN3TB3U|2JLa(6@FuPn+yHmuj$;qgN-C?)2aPNRkbm--sF2 zDRn}J>OR1Pr?|+*hBdPgVM4?z)$v8yAJ!Zrr`C+)RM(!$6EuYNrfkR#b7St<+QQ;~ zHX?V>MT{xHoeJy!hcy2&=#iTLw;&y$BAeFUeG&|0njeg)wDvBQa#Ag5bXdx!xIYEv zco`zkICvI}ghgLM?cG*h*;I{UwRdvPCTa@Mtn*gz&Ud&BseF#_)G+~#D(R+6`zH_G2T^xcWGTZ+=2Ba@q8Kb2z5}GPM&D> z6W+8%TkCu05ON>LjJtAbb=O}WS3hvRlU+33Qet^kkKWq9y2%r%Ej}F&$lRq z>}N%%qBuzxqf_sZ@`cGjZe98Nu|j+KJP(0OQuxv_NWg!{qDb3-2$l_2e1W}Sj;ZyC zIZt%UMi9!|H@b+>Rw^cxDbnI-FyD;PDKTze+=0f3rMZiLd^+4r#`KYQJ`dH_Q$nfs zwneMATIiGp19VGl(g8m9WARdHIeyuV6S?LG)eOLAlUgGbi03wM7|XO#~{>*F|_*oZPHtRdu3Jb#>5V zfP$*ibVoseD<*lUsRDw18|v$YCZOBJO# z(}^9O&xIWcjg(;K8DOL<|VEP7*y)zMoDl2Wy;8s#O*p+XozB`2hz&GVKU&wFgV&kx6 zrmiow067kc2xeVt*-xPE?m}KZmCq0(nY}G<`0<{Nx`4VhZQb zW8GapQhgRNr0M};B(=1^-v>L%HBYJ8)7IS;L!Aoz#RVK-k~ChJGQOyF+22Xc-+5m} zzM(FvH!l1%Xx^h}sLrJ^XTvfXDX-|gOmK`1>ypvmLfzecJZjB*R_ZDc4m(XhsQZ|h zxUx4Ov^1G1^O5uWkgEPhTKb?Uo3$1HnA-7%AuK#Y)z?AYT`uRh!&~U8S*Ba@RpzH( zfTzVu4;Bx?-v(2o)~vhJO==99KLhISW^e|}$;vvbh67rf9JWPIi}Ao<1OtvTwNwqz z8LK$Zdxrtl`wD8fyo8gGsOg6h#`+)fcKQx<}t6dmBhsdi5;ZD?!VRt@>*E3S6}< zmBG+7%(3a2G98MdboY7d{(u>p$-HfSdjXOOw`+PsLSQFjHUb@9ag3-dc#-goSkxTP zOvxU4AsI^JeFqY6UZ54gjIC=ea+s%#dQi~RA#5g?T|iymf-LU-mY2nQ)PYv+C=d)e0cYf4%U>$~ zMQ=#RMT$dcXlwneU8Fe>3WwSgfeeNxt-23m@w8Cbt0i7lMWZe~bXu4gwyZ(j%ozEX z2yQvw>>GZCzfne}C5pf%SeFNpDe*r&pB`$@6=(I%mfCe4_f~VN@?OaD13iLIDs_6}DA==QgmZ!m< zZT~$Q(a)zh=IYZP&wHAqo!-@2B;C4pZz|0tW^d*QT|(F0;{;|hc*VdGD3g8eG9pzy z=ek~_rreDC?UnOhI}VI2%?y?sPY0>Y026m?(cmkI=h|O}g$I5c^IvzVKTNMhVYj5} zQS~O5m|#cmCW^=z;80vG0dXODbT9OU-k(cFQ@=$A#Y)V?U-FU0i6u2=PI}qwW29y_FXF1|t)gw8KhF{KTR^lzz{Nv*Bf?67lo0Iu*`?&FYjO>@ z-KoqVS6%EGZrk>=e7T=jf6Rogig=!oa{@aGRqkzom+Q9+clXtfp~D$Qii`! z`#X3G)A_d{qFXdTMolvX{2KKbsaN@JtM0UPelErF0p19JR_pU{&Tj7w2(<}Vurj{r zsfJzT*+i&u+e@n27d4uv^EHM4&;u>1ZtTukBm@BPS-TRSMB{ z9Yc{Lz}L61FQondV5XV>+Y@|p`Cr9M{7dkGeEEP~PVy7@N@jrF(R)!45zLtSSD63Y zTC(stSAt?zMITl~oliLua0_7m0kGgHzVmdL@r<=0D?zBvUC9fSC+jEIWeYR3QzJsj zFbzxzqT_mF2@yM>cTr?^K&kYjy?W3qz2W8;NXt-9KCidj98rzQn{E!sest)ao-i%r z2tZyp4zt&5>qf8+;u;t=JS*k16 z5cNvlIyks;e`6Fbg*^1jfY*c8fo4SP3ME`BV|}#gm|FZD{1KjFjQQAVQGt#iL!(70 z1LqjTUrCH~V4iqP z&e$tE<$Gu^i~>-2it*GsdJ(K92;OEW88)u}fHeOf38do9ixN=y`$5v6mz`UwJE++g z6;Nhyv*EjEKAKCyJ&m6&6|zpr5|G|LEhuezPz55P84*+hXtDL3gNWAD$yZg3+f{^# zoZ0eT(z9fVMJ&-2>4ho9saW{4_f_J1I+$o^P@~h{?MIuAt<=rIpTvwn!_y>;shfDl zVHZAPiKm?M3zO!Mw~3pn(y|>dz-S6iFit4nT^En1w+`=^jEz!JW5Vs`41oUpSwW`j zwOSpETEYHbl_w2n7Pe2L@o@JP=MUm&MC#AuS1lign$V+*gCQ@r`DO(1D#sf>3~yoL96hfd3y1r?5~f+U0X%?BE^oLd zYbCJ)Ue3MlL%}mJ^baR&>XmW;}nxl_Zo|p3P_&yG{B7 zwpoKN91Go|6m|BD+W(o1c@xv3+aPp%8~62{RRdY*HteQ<=DX{o`a_z2IF;9HT0bZ2THP98Gl7f5(p5L>xm*gbGK?!u3`_u6Ca|&Y zgfTZ0glG`h%pHNEuY}pjzCQ=IN9WSboyUblIB@7#*i`+ughIaQO;wtqc30;D5ZHt( zlGFsx=O_pnZAK!v+iZb|se~tVe37$)SKP?|7b2}1zAfR(9W!jCT+{7aI& zc4$s`z!M$)i)(y@tB-H1lVb7i)Gs`t{Fr^veim{1Lik(&lr+$Tgr713{s>PoIz29B znN64u>zwr2Br`Yd(#ra4&1SFnw6F}3NJ}1`fnhwxHmHB-OB@LsmCW|{vIVWAVu35H z&{q4MkcqbEZY%}k9-F;&$(bPVD}a3xz~CwNQdpO}1pTWXG{7aDp)n2T zNRdoq{n;`h7;3SrQI2MfyXdu13+CLF^oEZbr5@e62^cln2zUWJ#eb$Ras^)?YEGF& z+;USZ*?LokKhFF9m^Xujqk>6W-k`=|{Ei>M3=}4MN8@i)n1kOQ!|rc0?6C9Z7s)QZ z`nglhL*u@j8sfo$OVMbI>(auh8utMXJjG)W(9Poz|HUfxu|;=4mHO~OK_NI)@!#{~ zkm2_Hl(=I89sxvVSV9>Z{KXlTFnuOYJ-@@Z?IPJ>xukmJPAnv3C3!amLXAgmkH=kSBT$XWEf-27wW zxecyxefD}~+^y$^WDI+oO;p_tiA>wRmEkltQHhyMD{G)Qo3|DG6q|7Z=pCrWG^Ivs zmqt!#{7Prv)T|};HXrq;spqFgY|pP1KA#0wp6b2YerLrpG;chzY%M95WUo|SACtW0 zb%eNFKhen8t{)y>2KltLNG>?0nd#zug*-`4HdR_> zb#$LMr;!6I#lky%j05>-L|B&|Iyx9|rv6+DD`3p`7kdS^{{c~*I3^Uw7Hzo_Dvt*x zgWC41VueZG9arYO?zumOs8c7M1k@3L22b%{DJr+07}zpdZ}*{A(s9V81@w+ebZMaO zEbj~1CmZb@#(U4W@WA(iKs_41Goc2k^aWBmOAZ?yl{4eniFP9HNaYVH5lT9Q%VS&HpCDBv1foU-L5vb{9#mA1dCO5UxLQ^7pv)B18$tZ z0_g>vrk*ygd7m^akORqhLzNV5uYcbcb}C49@m)O=&Y#+u3u0HZV#J2qF(@pVXEFmK z1@IS=!e$2W=xBtm&#EU#|GMULy^6R0>HzV%$RMd%rzjfvfJx+uh-XDonael{e`69^ zfsP>!M-qVxv#OzsMC)AzG@D;Z7vg$jU42{Fi%B^{jXSYaWRRx-3!dT^;GZs42vM#K zbz_$K;MV2|ayq*FshF0mdD6o*!EavCEW~GwslPPo!RmQUl5Xt6G*^7`jbKu=)ePv2 zaQ!1M!uvo2P%SW-zOM(HW%_a9ZF{dAhYzQ_6CG(NsJQZ#YdkY!6#FR&gDvM zTHR|&XL8Z5g<52W0Dn(`yM(K{G$wY6h>~yl9rQ%NG<;@NTXUZe#7M`=!ux^*wu27C}AC? zN>7TYn0~_gIi%@ro|Xq!92EYqwL_Ozy8A`fxPhbE$S`SSLCL><8)(9sK;+=GjMuhIq`V3t0o(t#ICdl+U?Nsgg zu&gw9YFZuKcI-)c?Cb@v_yfI{i8C9lvW4SNws3;~_^OGlRU#>0Vpq+gpO7tIn*>K+b%BX&=wC#vh;Y1_GlvH_XE;r^)Suir7v}y*|aexvNAhI=I8Bt%764-+auT#prkWkTgO z{EZ3~8iIwHIWd~W8+3RSNvoq$-h1q#gtnDgD6;B4^uRc8t~m|mgjs_t%HzH4=?L0W z910>jW{?lN4W_zRA~gF_*hH>kz&E9ILWZhV7D~6~RLCr9JVWDm!9ETGn4PlQduF_omyLu8m;y z#Y%-qi^qq2x%R}>aXpHuFvCx5X5vXOlS229a}nCr0%6YqP?dd-hqSjq0mLM4Ykz;v zU8qtJ1g`pyCqc+svTaNK=&WqyE^7Ad{^)bk@lRLWcU;FrW+0@KcEJ%Uab_UQa^X>V zq+YWXoqQ(=VW#AK4I7)Ili@mAQTfvpuG zz-Kn!afNbOWmMCH`E1hq6HizB{{zcI}i0bfPX!49h(U$o&Z z=zy5x>aEx==aq=jy?J&jm!r;H6yk_r7p`d7k@7arebjeS8kwvd$nBN>36x<#>Qy1Xjj zcX>am9(9sFDUQ13y_fSNNT8T~ue)oAcV7)xiMOu%lZ4Q*?!Ix!6pCu4;M5v(g}cRX zBB&)IFq#ZcaRvTHsS6?ki)d(tI#lAFOFZX#lEQMRQ1^Ix3|EB6ujCkb_rtnbTw*rD zQ8L@I&PnA%6I~~X!%dX#JUQ3nxAWR<16vzP+;Z` zQmqh)n3XPT`pzWvMZ9XQe%Y$k_a^;zG5}+6Y?*Jl(CEQ1%);W|_anK7KrvLEFDSW3 z`-g6|vvumdO5DHsQ`v`6&1@oJw?g7FS@7X)3ha^$@cmiSUMag1Jghr;HBLnIq6 z-L%?3(6|vB=vd!CC$OD)hvH77{*>Xe~YugoferOU%uA#V5OX|cFy z*HfVcLp2CbaizUZd!HawY7JRjwx4)wzTx>x{!>9uFFY{LkFjmZh=byS==|n4A5W*- zYaU*S@$}u(g1*Me9R>{1Xs(%NyXnU_w*QWY+WOE^`(u?ZRQpe~+QZh4bK0;HLhrh923|%wJ zx{NtTj*+=XT#jA0USZ{`@*$5)buspQ?{ttc&FsT2TLaO(iZy(6?_@1pfi6tUX_k15qI!s=q(z33E~#CL0%`j_Kh6 z3OoR4@D#sqFV|)!Xl&KZqG_${Dah76<#O!W)PkkCk}1vu$A~uDu&Ume(2}B=zT)ni zx=6>(A=%%azWEK#ro~oP?a9jY~Fh+z077=i}SPQg{hQZjP zvL9p7oX01(mH#B77?Ue5fH`d(5ultW9?@NSKo&S%XfN1i1FSwW+v*OlgeGX!m15m< zXtI^H4UpuOeSZK4tNu5OHNoL&K5+U0qFX$T6?*U#FT&pj<3~`@4b4T8;YeltVy3JA z5C2VAmbvjY`0qph#;T8AHWW_#E!=63CkqjA@4~mzB#ozCh&bVS1ZB?7hZL0Y639@9 zds(&78-DTv+2pD{teIgsJ0Xu#8(qe2%0GIdLHYnYc_5T=-!4-5U@jf4{9Qpj0J}{m z-ui2Q9rOru?-$~jxyhyccIF1He&&!?Q`8>f7?PRPq?-TxW2EZ$yg06`vML7TaBz^* z2&6%a{}77w|MPGCVRy_|U$_BD#>Dty*GGaF2c3=|Iksr`Hz!C(XF9ER95f;U`CBuh z!p37riKu&o3)|Qw_V?K*4^wE+!*&kXM+OYXI)mR>_347qUeo0d8o05ALe}PFTqQFJ zmRhSsKBf*Bq$uHdz+~LP&E(qFd^+~f>)F(GpAw%dK$y^VTO}eK6K2coH6kGGC5GWx z=Agl^cJ3i<--i5B+rI2n+oOwrFfE;nBw=g@cyw|)#Rd9N*P;s#Pw_{tbpivzu#vbK z{#qu^BsC&$5>#zLlK^@aVKmt5eW9EqcTq@Djk3kFF?LLb1ot0zLmJ@{K&X---KYH z%auDC(_)SfkJdD!%?d<=?KW*Qn?!f=w3)v7^}2*!ntit(qLUcX zN+g`6P}L3f66b_-5nLZwYU0$$e%zO=oZ&Ezg-XulWV{6 z7(Pf))n!H1PNw7Z4R%6TQG8~~Q$tJF&54xryQUl=3%gvAxQYkDmMSN5&Q|4k4F{w3 zY6P@s%}#9ue`B6%J4C7}}7PREOkv%vkbU zKu|ZyVX935YuaEu^P_7?+n;l9p7-SKg6J{;yb*xlDXwz?QXv?fv=al2Q=agJV{I!k{;4B_ z;q;Yu*aa)znM9A$|GEcfDe5dZ1mlaA{dp~^`7=&{6&c~-jgV!m|mUx;W~7Hr+0;BS;cY1|0L1e@J5bLKrC*cTvdl83NKo~JB~q z4IlaPQp1N*v~At{hO0iOE}<4Spst#Gg^r^OtLHCH2ouvwmdW|_xLxj%Jt7Hm&0|7NSa}Z25>sgzaO@g-2tW%ZWwgt%4%3nztX)orm4B3gxq&W&m6x$^$&_=Za=)Z*G#AHrt zxgjEq+H_6OkX?3uMp9JAn7)WZZL(I3RPECTRt$MD#hf0oq2_bavfLG<8@2t2AVoVC z4=Q+4*z6ohI5TJv^-(~Br?|N%DpavWi;%1vSE;0~E0-qmx~#5s)Tx0a7q+c!jOfu& z`CWcr4)vO#WLI;79#|p; zO}L9LB-K}XVfOb=#looS^V581-R2$9Y&_IB$?)fJe6yHI*cL8o`4Yf|r}#69&zP8Q zp%{lq;oXDv?eB$ro|%2N&kxCYC-Bc_0iI&8PIrQT_7yM1YW7%CO!kHCP%=i-*%~+ZM8nyq)IVEx7o-x0gPF489$}(w z!`F3rbE(4eVHpLpGCp6@4y5y0nHKxYQAJWa5jI*eE4ml`=oqQ~5w8|1k2C+wn;&GG z2N;TF=2j~LTH0&`{1KkwE$~lUo5i5GOAKFt!nZ3;K#&F-^RkqkL{7Aa2x=qNlAva! zyBuAH1xCz#`#0iIU1l=?SXx=r>DpNeGp$1Wc6ATRB-+KOKSV2N3c?JRslc4BFDNKu zNsz0M{V<)bI~vGv7@QajzodEZS(}H5B&XHkiUya{^$ftkQ~V``bDei69#Kp?`S4dH z+aC5x*SfY8? zz1u_17@+fjFwE-T+WVzAwbe91*OWpz@hoVKENz%6=~8$73}1?Nqgf?VFs~?6;3kQ3 zb1I9sS;Vnf8H&Mmg*sYgQ@{qTnCiAc&!je}JajbF&)GI8&&}IifIw~ZNX^8^?&n`1 z9TQ!0YsITawP;7jBeU}UG!86EXij_`nU9&^JM8bb83W>A3ic#IXz71poaP@OJ=tFV z2O*m2uJ~V%sqO6&vAUQj*}@wo4rA(_hzqQNU%>d~EBuX`Xi&kW^Z};EjchudkBg0t9 zvdn_cKq#oy-m1jnSO=cZJ24p4@Jw=iO`%<^2*D>hjUR?p-UxG(ZwZ9kmqUxdtl-Mx zpy8f57}XPY%?Hw&q3*I4Y_bI1K~=p04{*^=VIyf1+#%PttR!iBYp%|C@^Hh|QB8Nk z;=K4snpB|8nvAR4@Ha|nFosclL<-c6?Hc$J$q)1<8@taIW8!SsV>1jnZ*oRVU+HxE?z@wf6B}2DvfzxUZ0~(&jvtd{_Q<2;EfmHKK|+v z5-54xCwM;2j4654k1Yah2Kl9m*7n`he4duiiIP(k%`575ip@M%DpI48X;O84NKRDq zE1i8wqZIFm$H&Rk`-(fYZW}p*?(4l;h`Qp$T5*GA3E24Qw4C#SQD zV*7K5$dU)LwMO&Y!5YEWGBXFQiw2PD0sIxkTFHvfE!#k2O^mjb9jY#vKA(cMa$4FywM~Yh7*I^Q*AsFk03a2Z@AbV!1zS}?n>WrCfFZ>{lo8`^AtN6* zUe6k7y)57fyCSS`U!H2u!^1<)xInSj%jpi)!0u}>0m9HBtcLk5+jHniHrd6KcSgXW zQ}j4M!Bd>+4GHCfk|{Tq)xvFNbxB#)R@6!gy+>2N1NO|DBv7ih1zJl zPrgL<@wZxbcFUR(Ck-#i)OLu(3Xbi71bzZ=;3>`_AW)Z+vS8?#MZb^1L_|-WuelEH z6iz*{+DKtI#*DMVjHYri(XNJfuubfy?_i7ux(|w>Bc=(v z;WH?P{>&}Lw-*Fa*;`$b3HcKkND>_zWjUa-KvLd?O4&D-u!^CdCJle_L5jR#d`8$? z|8$7jC}x7ByY|CKM44QEhCd&|K$4ztZp%aA%P9Q=g?ABVz**oqL3Xgu17yJeCi=}& zJpF$s=V_gjc{>u8Plyq&traQFl=4Yyy(TLI6~I_2nBn|Yt6E5Om0Of=o}7{Nj(3-7 zzMPC*UWPK!Ruaf1j{qDz#RV5EkO3;2bb3M-ojgHmij0(6eagrrt8+OYa-doCvH4#K zL7X+F{@0!$YT=9+bMmfeSu>HY^`-)5am;Bk!u)-3(bYazAXKlo%gXlW(p*7Z7BHE~ zaO!lJQo$7I^XGv`UpUVt((4Z#jq0=%HL5t$F)itEeE^tt5E1ndH^&<>Jm+S{Uj&Xpl~u0|}?OkGdFG z?>=nVA?b9;js+uc{RyDpDK>gTf~u4-egKnsGsj4GhHnOYCi(=V35!qzWfJG_OA{^s z?@nFa+pVNX(z&|^xx(5vjQ_!3F75?E9FIa+tO-n=OV1|R@=N&w^;uQ7qRsiHyf+y1 zIj-|VP!Vvc33j@__orb-{C_UokFU1^1SMzCe;HqI(+sZm6wJ8h50i)yfLPS2DH#a- zu&yR4ht=X zyXa7uT8vmy)DsPcrA(wA*$5cN_<$!MmM@OQk`Fu>$h` z3J{SIt+QSN8UX(4N&eVf$Z$nv10iyH4zFO!r!_`mrKK%1L)0mYWVj2~xaznPAUFKQ zKA)a-9V^D6969qUsltl!Dpil=KIjYvHo~h|S%nr81>0`LeXVYB@AZ3%q!Y)V&-Cd&bng zBpG4b{BBy1YYT;^)=m}lIL;JI2c02^NELSG&!OO)nngu!n%~Q6$nq6QUoO6Uc?|B< zs_})<8sTcy;pPZib#Det%VR1z*Iuw~(1E+4Xw>}Pxdmi(+ZLV%C)>!;cp+@8xH;ve z@uvvq-C;7?@kSNjk6BFGfe4&Qg85k_rR74pIMpV^k$KNgBYEk>%tS$iTaZVQ zpc9Bg>)VppCFfg&z-_bY-U<>uCS_q5%Ka8GqUC=ph=KA_nb!fJy$c#Qec=<14j0(V zwRr)WAT)C_!1^c@D#oKOI&6bBWPPHruqJO9puUAf*6FrI?P572B|q*B43Uwjc>?EO z0%MV{NRsxdOQW$#!K#aMc&x#W!Mp71YmX|iy;au+lX)Ef8x#1JRV0UH$&~!WMGh)8 z5{8+)T9ht@xyWf(5VfKyWvQ=RO12Zd2>`UzSILDgKseyQXwoDq+m zi@f}-4u!4OV8734WY7SRNs)^Y5CkWlmwVuk@Ra-%e;aH`5v)#8aB9c+qJi_TgyD3T z^O>#6S=MkmuP5XvM-)?x=Pp4o;0;dWK^dn`{j;xjUr(Ua)+cooi$Y4iE>9apZ2wGG zqxRWD+lxW|j6!nf8S<}Y^kd_O3q09;s|yRN^_2S?Ev$dFaCb}tKBGK{X5;TkQvGC6cP{^rmX#zPIG z(s}0%#MgFRt~qs$6vrqsolpBhe4>|#$)Csc_6nF(l9HW1RjiU_3%>#}DS2%dqW;WL_rZucFZ0zJYCLb!i@cg&Ya+3pM@$UH;uoH+aK%BV;@y z!N3YAI6or)F`u$s*CGIC0(p?VZlH##l%PAGnvPJ~JOoltH~M5qJrzSYdw2Z}a;|6U zDa)mvP#`l35AL~Y=$Fn~si)DHdK%+OJ*g*H>M19?Q%`KAKX(Cw*nx%?%}PC83aO{d z{Ia2UTpD?rN;JINX~k1}VV+mVrAH+N^&Byro(5d0wtLN*=tl-j0qi60(ik~j`RPsw} z!KN6dTNqVL$9?A;kb8Q=<@a>AwM#-_@AC690h)UfmDA>)N`7ThfzLgaT#vs|O4C9t z2$q?-r^Az8BH2lDs!^RN>U{3$f5LLG;mGq^wm@@FIS-e6!t=sR#T)1+^pJQlGxzl3 z6IL6ns6fe;0*KI{_oa?L_(GfXMi}1Q9L%X3M@2e{=X7PP`ckpYxj+N##(^Oz+$}Jrhg#DsRde z7_m}?_~-T@hqF04+=PH2m`qC4zzcyAQo&4{t$A+^sdtUQt^U-CdglCaABA9D5|4n) zMZ5WQfEjZz;-Bw@303!#hK4ty#NWg7wzEBC4H)|A)Ll(gYhhPg*UorjKV`H zGTSmZj8Ob-WyZ_?4YhAy@Sk6vtcyoGcHA{?GR~(`x$?eAZoZmqg`ulC4Q9i;Bt zFqG^b(DhMbS|%>_DoAms*cJ62vjJ|gRtYpUOQzc>7_hHN^?^J>jeD{U4?<(zmrs?wJM2%eH#djmp?mC5}h zm+d9X)1jcWLe?V(K+;znh#8ml?eh@mxTT@+(SyO&*-4(|?E&mP@4z5>O@ipwS)RdJs+cqo^b zImv+{mFchBPX{VV5_Ns%3vfN+!dl+spI)DZ5#m!5n5jc-B7NxCx9=?zC5ntiqyH zdX#1y5_5deoaJ)`>ZWz)S(GZ}x6OQnWCXaYHzcI(1+&HPhwIi7{W?BMbx<7NC<4OwtmK=HtJ;WIt6|0wi z1N73joPb1|w&{4r05xu@Y;j3jGAy^Z9*<>X*jw%O$}UTe31p0EF@fxXKf+UjhKDVX z@lJU=&nGM4${0^b~KqwaPLxs`<5`r=! z1Rt=DaVDvLJ)f7Bh7|)v0Bvh(ul+2a&MXcY!a8Vc3Xrf}zJzWYi}#_hMk3h;2ncC3 z!S05+y}Mx`^&U=0PpFiwW>^>_W0&@Y0gX8($SFNmIRJcZ8Y20s>k5+pxhF5>2MKX+ z4o2O$1T>5gbwbW4pqUy$Y`Tq9KvNTmUNZ_4-*;X`c3s7bQnl-7B-<#4p??&NeL-;A zh>(vNB8mxfmG()7?y0HZLX9oDIZw#FXXG1f9U?O05dY~= z5mX&~wLSv(=J3y8!qkkXc21F$#R5hcLI(48kCcceRmh^(YP9D0KKOS6Oq6Dp(9*hT< zI9QYG=R8F!p9!X=%D+rpRcy3!Ovzx_5lC37!gLoV342hSUiC+n!LomWGFb76OBpmDuTwKKGIM{C z3e!2j1vO#!lb3PCK%(>jhM{tJ<=^e4?kW*yg)2>a_HFjlXE}6DPC^uZP1cP=C zAhmNv(KPnveE2z;`=QG!m{tF5CX-2@x+UxnV(+1wm*@}&s6F5;#msGP z>>5E%xNg>%eMW;|q~q^(8{)uj3HC6w$@Z5H=8iKcI5%u2)HTc&IU78cmFv zIV|mSJ8m&YA0Q&LYyNDl5w(HUrK*~Dx3$i%BUN?0=-PEVn4sPBT7qR zu+M(7_aZSFZKkKzKMIv|kMXEAKVxoM2n;3r4F3WUndlzf8xcrpjxl`kHgL0tg&I0v ziDX9wZcjOPKJ8krN5(9kZ3Hp+m5mB^`zeYaW&@0|zTdVMu|vX)Bh8r&m2-2rE$^)S zjg?OFr@VGyX9GvgvAq`xCSAcCaroO{x?^USsUD}IXZ@@fNmD7m;)6>xUGOze&&k;25EWxirwDp&F9HrcC4K}1 z7BJlgYTrXGz8PLVb1gYf@@B4j9x7|jS6RDR>pcq9!$H*eao#mE47KQo1&vfpo8*P!inlVw&7T0`mv2Xc8W zI!K5*6SSKa8~|H*YV9BaIs-6sWyp3u98&SN26&o+a~=`M{{-z`YF%~)S^guBC$|nN z8I1O&hU~@B6

jqeN|uzYQ>%1{iNIm@}g!-OPLJTnbG)ZA)DmpzV7YJUvCKxgr&w zDuefyRUL~Dy%(0b`b%$MFeX%R0ImRoY7a?eJJP9kwOxrgnX9IGPo&Zz=}0P(P^cs8 zbEu3Wj~&dvT7f|PmKy?$t7T+(#$GMA zZwbY`2y1->lsv{Ocru*aHa063CJG00IU2RpukgtMtzS} zLg*6xS4aSqy$!RMD!oK2e@9Vfq{`nWu0cVPrp4@12y~JdWv3!$4=Ikqmy_ZkaLs7K z?!_;XeIN2`?uHwTbzjG#039KyL}xini&C7O@0SqJEyY39;ZR1H9-cix9y*y$R3Fr( zQ4ePV#NE}LRc!4z1Q5~tf~N$7aE=8-&AW&-=&M5v09_5IaNvT8gqex?VcbljkK;w+ zlj2KRDbarP_oaD*PR{0Y`RH`8(=)C2H$r5K_I^WTYxps;{I_tK^Fv}I+_>&e!>H4(BJfr~f#$Zf&R@UmlWi%BP6B4e;VB8z7xd6mMnbdQo_6?` z8T*vcf7x}Qa0DeJ)9-kf4FABJj)i}&r0#ypXP~MtKak9aRW+|fJ;@=d6d1mmpzAj8 z^X&8b=~j^t%^uVr8j1JRr(A`aK6{)VpBip`D2*P49#gJzLZDm$c*);-E*IMusT7`H z0)=!?R<2gvtQ0&|*XKOwx7xKDnM}d<0Z@A|mGp+aOkj1EmXBZy2pEOSu7yInYq@2v zOCFC19Si@~;q{}@FDOn|2{Prq00vJ(`F&jbMCmv>8Ma+A!=idj-c=z+Vqw??8=y^Guc60|Gb_O_y0&>g+ z(?SipTf6@a)1Q!3 z7XM;3HbdOe6bL#k;ds8-e!Pu~=&>K~;BRa{A~2jt%$o7LWjngaitVn@&*na91+H^h zvAqrMZGwv~Vu^&~I^upX*R2vk@l7m%0K$C+-R9>?h;Pd6`MS2JGX>A&>r=Ev!7LEp zR@rQ1X0#^`=JIHV7mS!Q!wK{2EO_@W1$Rja*lf)-8KwDCA@w%ZEsHDqS;0GBkQ+RJ zlUkTCnR`0SxK)fYrvZX@bJy_-dV2k4j?HE)v@t#-xRHO^n)h9qY(oA>N5K%!gO zI2eaZ3!t-Q-y9C8y*DIW>yrH!B%&iqBBG{#-wDXFqoYdaEPVo4$mf^^k_+u7|(o}N6EwGy(Sbm+>yyP?$m z#L2~xI9CXblj<)ASa?bnSc|q<8VZ22x-FTpSD)?gLVG3lR)83_N}M;`4`=;;?ySev zzDi_o&3kFMDHSKj8P1ia$TTbr`GNI*(@&?88Jmqkpa1NC$W?#z)ZO;JFy_h!!(Iaw zvYnt&3(g@zc3}*^$X=sOEvWU2(`v+#TgH>^6M|uB>oYO&09BrfHryY-EAJ7u{Y6he zFcQoV?#f)$o=k)MU(ajT@s+l@fYQV?xyJQ9Bs(W?S?R`}6HB0@f~A z5tSbk?~xz#TVy;REwtDFkj`O!MR=&=!d+SH4 z(O!A8KS^i}jhb+EeLW9Q@RTg2pxk-H0&z3kx#rUsNPUdkvd-#lxMeVL1?IuiVSnX+ zO`SC2dG*vIFkQXjob;!f&{+Rz(wjcI>)rrlu8jOO{~^vbb_(}3%jk=Hd`-lPjF$bB zlwazs4C-6xM$Q!7tTmSJI z691K6CZg)7-bQR0ylRHj}Q|$A0w!(K-4&De-bEMX@h`L4m{69BeN9)q^-j+-#T3EZPG( zsy%Kmtm0Ekpe579DRM@Fvs1skHzpj$hb6{Y#kxb6RmK!bAYON35Ulp>Pu z@I9ZST1oLa7KP|bJVuv2|DoZvWZ}cEJ=71;%E)~~_vZXgc!C@_(_!k5M?@z?iBg)$tJSBYL&88OX>8H@&)1OVjl`SOnm^Y> zrLpPrfQN620Dn9#IoFyXE@SEl;#y371N;%55&?f>OdWwz(WpUNhC3gBBIp_0r*mY^ z>vJ4rz7VYz|4TP(;ph!BLc9MwV1w`YTL(LIY+6M+hG#nFCf?y<8ao^H8OD?7ewFsZxF zo0jUXiRxbQ=XqMrY`bGZmg@xM>*m&2%LKZzx;fDrNVsYlsa?i9AoAKeG10hWgqMDK zlrI=O8M_NQu;m=CHet#z^QJFow7ARt*OIX*JI+j>qA4*hRs-Yz_L`H!l@-Vv?W1Yni3G z&VDWKDmAL%MjHjawy{O~A?({Z&l_y-tW+Z5;GsF*qJa!rZg)gK2dc?D+{|ERZJSCsvZ;bkQ_dv{` zb$Npp-9pmUx1_v{OK)j55*Va4N9$BQJIn7+#jAfER|`->)@^u$j<1cv<3Rv~rzD2R z?yUg(U9dvozkpEquL3!({Fjkvski(Wa?stZ91|nXWwXedjMNf^WiBJNZ?lCkCCZl7 zEoA#@mrFOQZ3^=BYGDNSh5>#ih7_Xz7DLm?Ejz4rPAA+m--XOl=?k3MH&0oBRA1Cz} z6vdevf{}%r0TrH-4HVr)2@{-yqnMRWBlmkqCtTSf9sG0Lnf;gO9_2F%-;ES#F2x_n+2rKq@n*!e%mpTo zTktn35oq8IFRdg^l_4{k(NrNoAglE+nk_mntfVilo_1r}y;t^hwn2(lAaJ33(< z;f?@1UZGA{u(EbJG$*Wb08|wD7Cj*PqDi~SgHtDwng)a8WM{SVAa!wvxA z{{<47{g4@c`|nTDn16Z!|D`I*hL?yZ27LqXdct+GrDTfvMwkT$m+l-~vP=G}WN_)O z!6iGjcg$bVj{D<o?4|4K(xb3djc-qiaDISPXLEK4lQ5u1R{CeSh8G# z+rvEg@3+TT57&5Od$`wy+GF5Np~Bs2kCA4E6E#9y#&=YKEkC8VF>$>QGOi6t`K(MY!1}x^=-FnA#RXW@0ngm!3vYxI&yy3rR zU`$u-;ppPA*jl9-njx`kMa&@UI|hr~We3!?pbOq`BpT~sUh9E$64Afru~<%ZwtC?k z&-?{^GpxqA*L*z&dGZzWh52_~wC9HmPKJwJw#Qfx(_90S8D#xCY!CO^$QuUPFgOdm z(@CV8^3=(oKs`XPQ7BB4x}cdUspkz(1J+~cWX!j_sE28Rz8(}N2V@WmOGy{?FhD<8 z32<0E4c+R1KR`aldI}KLE%|h-qw88=kO4!~59;W;7C=1=a%b{nUK@yFx}5%97ws|f z=KI&fl3PGyGY(FJ5-7lDwgrZI7*5enqicbo zJYNs%XI@$1qe2@$4E0rSHXIilATSI-0T0jdiax=AEqD~PU-s_5Ns4mf$}V; z^jQ2mgD|_N1Q@m&>fz`!*2Al@?(`eB1zpZ|#`bV@@mTC%-4tfG{*n zu^z5`AuQcHrsdKM-Nm5c>tVjFXENLJPCB|lGwbO3-t`!SX%GsFTzDL?C80e`>pD>a zU34-XR)O|(AHW7hY_VoU1l0b%NzRJi?x zXy)rdVR8iPnq;VlDQtMh$)}4B*j0OeKt0S?I~z3IYnB^&R&>$PbuG}#j75fbOs$A@8_2)I_Apd-T@Oz)e)T-~alK#3uv2R3Z|nSf=C96H%-riP z`VP-bwiOJc@ppc>@b~_bl7ZC!U$IEpN}q9tBj6R3l@bKb9|wN;(!_vbMlYshTX z;+mrQ@B@Gd*N_m!<~jz%QAckvFdbd9iZp%_BmTyQpx4q^pH92>!qCiz&HErblMvl; z!udwX>c0>iI#IKAS%6Xrx6jN>iejH_8%udOD6w0 zRv-R4nF~*zlF_2&L?PePm}U35LNr=VK#K=}%~w2rc2Q_fc>aWB@H5v8(Q-zy?ju#_ zD$#Om4z=m^fTmai?(U3GcbvL9ja+vcX*$Df^*5dF&W4-%r>Qr)W||$>a(uGJ^DPJ| zTf^M~AK@CZ2cId{aOe>dm%ItqoCDEv&SWXxHz^nQSQ`gYr(r*&2Gm?0(NJc=ic}3J>x1HbfVKAaz?|UN{oZ;Uy^xI6N?eKDQ(x7SlOi0|@qK^@*O0F|Lk`N}d0USX>(`n3 z#?K+*tu^K_GdTdau6F0MPj9^5Vxc(z-C51e4lR0>e(`S#&+r}0P*akB%>2rMe9rO`=vc4hidM@-+uYRhu^{8GFyI0!Nc7-q?GU4V>n8pSW~-%#5*cATp(9 z&Wn9oneNc+O=pFTae7fhfTPKAa5TvhmzZlj4&l@q)*cT*uz`Y{?{Dh${s z(+gc$a$|t_mx0`;Xop+A^(n9i~C5=;J7EH`oiICp-grM5f{XdEl`H`A$+Dxc9^ABh=WV~T8NzU668&K ziL;N~-$J9~K|Wwxi1B5>$?hRQfon({;nc~F4(+6zmvaT=<*cuF*fRN5tFo9zN)GTk zMqKH#)0rvRe_ujqjrO?0VG~z3tb!y7s)g!BP{(jUhHFRy?o{VdDMB3(8qFu?*8M3+ z@#s(4XsfMNCRrb~Q|C^+_beC{i> za5t#oh65U0Ly{DgAHUaAQ{THTC)Rt0M!eOwMAAHBNQO@bm`iCHF<1-j6vaa-RYeBI z!5|8B6 zwEWANq;@kq1uYsS2U@U1)9R!-5YUufdj!$sUaM$`Z}FMZYu(@hl7;>R(J_V(A-4?) zg@g)=ZL!VZ=@rb5-JF3q_s#Etcmt_2+YrP;0rBEL%O^t1Tyc* z5??X3&h2h(`co@LORWpWy=o+L9M8%s?I;E1u=!vVnCyVP*=){ovly(&l{Aza%YTLB_Try?rdR7%356=K< zUE*So!%-g{8p?ZA5bK*Ww1Ds=OZn;Tm$> z2n?QuJ~~`R?kyt+&NdVOfivBn$o_$Lv{09m12(Rxcjun6)m(1Lh?pEuh-v70Cz zJ&X8qM;{I!;Tn>IyV}vw)hU^pj2ftP;ka{&f5|v5MnUC_7;Z-P425|-qHRX*f;toj zoD+!0>StKY3+TZKh_8P}mb}h6;7nWl`&_~oo3kKqjbTt*znHs0bsa$m9AyPg^so?v zT~Z@w-A^un%x~9zn6OIhqj7=y%-Z9b1%)%Msy6z!a+(3~m- zD}GOwIwR-i?tpxOJNRWr{wX>314Q*!qZ6SZ%>V`05V14Jc+e*;1fGZNQ_j!IdKyktkTTF2(IzS%K+66jrXHQqExIMg{)HQeWzhy^RtYqJF1lM5JOEz3G%8L$ zpayT54WP2JHua>S%n<-6YxMSjCCr*VlgQdVtiG!mC(or$t6gPnIiSgX*i?#W@^(ZX zkfxLH8CBNcoa2VXPyvj=2t0U(m@6jQ>MNcU0+S;f7i(Ly&GUTzF|S&zX1XN0l4Uo~CcNjl9@P5WawKzV&=I++48ynq}#7*h=* z#`gt3vVrDIvh_5`U9|9^8>#b4*Ou{&o-q9gL1jImNU;w-qdcY#sSps0l6_XfM6Cx-ni5kCKb zBf>?<4JLg3H9mj@m93QN6hz}03)6`loML-V67DU!{E=QcEm3e_yu%!Fhf4h^*rM4j zA?se8w+=gO@!Z7Vz9%1uh^j9aRx}*o;WG7wJMAypQZ65t93$KJHIa^eyklhYROS4M zNkNG#Tv-p9PSxY}g1i-SXMS!bX`CK&g~Gw-vw6)obg^S%ES6avbmS6~@%+i=(!!4I z188|sZt6#&IXwiT#Jq^$F1v0eoIkD3gj$+Djwh#BHhP_|oA~pmWQyT6E|!J^9$co= zC@!-&favr|$t7~<=Fdp$W=7F zJENg=zUq#=Ukj&txGE90MyFKW;TLkdG4vXnzyaB-bmsvSn;USz158bVS+n0JP48G8 z{-(vgz^L_qb4Wu(jct=iq;jePVpYcJ2r8=#rJ^6>GuoZ0%D^0nuzm!+x$a{Kh5Zq? zP2Kvf7!P<>um30$qp1M{xf%RYL!Q4)XCNrnq;xho7>P?UEmr)D)L(A3imU5?E+lr( zUNSm_<6H6*eY|#gojbU@;=D{qq?rNTZY_p4#&Ng}P~kF_QGAX&P=kOWKgO5lmOcg{ zryk?ZQ^!>jIjDu%MzjMy9P9Fa$~3Su%zzvnV)`BgY#tM zKO>R)y8hNfi9}1?TQRdka|FlzltTAU)H;wea&)DDAb^%S44vk^K_pl8o`um<;}!*Q z%|1Ii+8&B(>kM@CRW#|DDyBO7fm5a+1ua{85hR$qm{rZyFUsEiRx!qV_k+JT9=w>& z>I^U_tucO7LHfNc3K#T`+xySXgq{J((d4oft~z(hQE{G=CWr{8g6a`46EMPbHbqtj zAPg9YeJD_weZIGzeAB?vDc0alyA)(AtiRKfk614xOrVS_{fQaY`o%UWP)3pul%XsvE0%Z)@;6Y-^E8suK)!R`BK!Ea{SG7(7OT9@=_iN~2!2-AgX-6&!u##um=*16?-R+36co?+2) zAmlsj@;X;^Xp1p+%DTu134v7dQeNzXgCNG)Aa?)o_=jHwJ^6`={Xe&p42^LX)D|GP zD^z50G2+UyP&D=>_)L*S9AqJ)EXI}&n%|Ses(YHv_0>Ha1^49G8$Fy-o)tC6yW8U# z#%pYO?Qi-ig7WeJhv~eOGphX^(0(0DIqkRq5LKwrp>`M3M^T}U03f(bml`2)jUadW zwsumVjK(Z2tC9(~mNK|@vDx8@wKcTm0>OsZ(A^emOg%}$->8&<|1%2C%`jfCbdt1Y z32A(vq+_camj(k6l{n;Lqt(K1ba_uw-Tz>!Tm|zom0-1BzzQLrq??tUm9VvMdjShB)6b2Va1ErPr-DUabt;H09t*zJZ3up%(IAi1*`su?eVanSwH-J zlpyPrz;ppm{06N<Cpo?;dvvqGlf^aT|P)(z}gNs_UIJLMOlaDS|!|m#2;s(p_tky@aXbZK!eLPl%it8)m{whIxDH7 z`P+_=>TT>?Q?*kGV(Nf}k$U8SVU85#e-%e3v>MLokj}BC2lLG&Qz}M0nI2-$+$LHu zNUnUS25d}aMUIV%Y<{JZs4iJx`{$ElYHaUEEY1B{*(47_a!#)!W|d-UB-xl6*^;X% zSa<*F1)P$|C@U`!kDvS{0Q7|GWHCSeP)W%tBPrk+)(_~t%=$ds;X5U#e*M}e)E5|0 z;1oP{EGap|+Dz|d<358sE%eQ{%A@!U3q43*o-q#5OG?Vh?}LA7q5b{uz}b)%!T}9P zC zut_W1vX^mTLXq0$eWxOgmJ3nFm6W|QPbrk#vW{-C5owHE=uh-s_Ln_Mq4s{2bMYA# zqRoWrmg0kwvU!)lzqGm|BW_=WkFbyxKa`X$rMHr@SJ%M@TIkz(r%<+FA(@FwB|T9# zAD7Xz(07}|75GgoWWx`DBvo7XpOx?zEtEd>y_xt53q6V-pwKJQ{jXc$P78J1^Tcp` zhJ_x(4^U{0bg#Y!?zB**>XLSRhK0sUFPH9@?r*3ZKlbHewROLjUcOr<-QPR~?i4B8 zcg-<;hDd*qUcP&)bYBSTdZ|$Eca`P%3=2JuA4pqOZ(9UH;uwMwC<|cj7ZFB#YyHxs_ia9kkFXcWwN& zQfMlE03>V`+`qgO{-uTb&V5~#ojjD@Z;}dao~sl(b(4)U7_0lU^z!|q(tQi^h9aHz zqf5@hXISW2>1EAD(tVp+UH?13#zxRWcDc~~(tSI28HzMu&fo9CXNWXSdRc=^1j2Wu z;a^(l^o{l!e1wG@_@Sh%rdGQD>kn|Jh0f@GD}JVho|9hI?3V7$>)=icmEBoN<%&qC zw*hI{kEDAT7u2-Sz{=0c@fjAHjvt`VwbDKEpKzyze$w<8wHM5gUM{2cmz3?qqitH~ ztdk#B`7~25^jGP=`%$IP*>_w_8-vw3y0^Zj6gt1xWZDa)7xZoMpCSi}94K<2$bljUiX13%pvZwD2Z|gh za-hh8A_s~bC~~04fg%Tr94K<2$bljUiX13%;Qwz9;Ln(N(f`2KVHkXX%XBq;z}OW* zeiN`cgH(H0?jqNI9gAcqf8w!R6LNvW(u;& zM(;jZKeZ+txi?J?h{WOl=9pLWz-_uT7=+OA1*ce)Z?5{Yc4)uf|zzHZUP;6NEvUi8*U5EB&gaGYCofr8G*y!bvO1l1ZHL z_pHhnD^d<^!6E@*V)b7XaZh(Vw=c9h%B8u$Mwppz)=Hhmz1GH&}$*o=lFrbcof77EO|e z%ki+__hlO*mzar}dbqf?l$z)jt{5Ku2a*lKMHABD@DVQ4^>CLAk7z=ZlORK{s`^nn>jW z-pai88zGU5rLS;VZ6U~9A>{-9|sseF)gOii|hq$mud z(-u+nRA!CLZ$?1bxJw}(N0(~c<%1mUqLfhf-0dewOZWt_1;e?ZSG9lp_JfCalUQRo z*Oqg)K#F!t$T9o6>&i>a@ZUfr{$YTF%QPHuX>U;tiF$)i4W@Y+TM9Y0GvX&1YffAP zskJTn1{Z`RP$N}9wkN+&N-VVahWtY|Qom=a_=!hzlU~a75oDIm?knrT*bn1NQ|q4m zjI4js%i2^m&vr?f$fm_Y8-Z>&{CCP=z`Fxb;4Mt$a7~tOI#P)gPChQo>4Q;h{djBwRg(rRjtbrQBfCnBB0!r6}quYGhG>i z!vv_(o4;f#sb0c(a7}0iYwKLwYe6fuiT4Kt#u0W5)S`?))TuhUd-X}VCEuJicdR69 z?_ilxbA}S7!^!jmoOJF17`RMm?4tt&?@13ZVtnZ{XFNn4=i3_(3~^_t)m;!tKLhNc zCj8B-a{lRL@v=+=vnculT7EV3OF=6UxJ=kHblNS4FnqN7T4|cp(^N)-@7ryzBM`+mGb{`n{S2^2qzR6xrE_QtFd$Kga(*rpxNkgZ#Zy>aUnZ!p=gc=R+Y_ERZS_=mv; zU$SN2o92)iGpJ_c0cHke4aTt+14HAJVHiMuwn4-^?B}B3xIH`i#&ct$i&4AaYZ>== z)c^s5pb^-m;4Zk^lBRN3vuE;_H%tV^-CDocq6C@1uw zeh(d03!l1x%vkaiyOel-?Y*g-XG(C+3z<*|ml8rgsCql0&m#5(xJ(ar28=G8;JrSe zFDV-sYP{rp_hjo&dPkiqFZPAo+meBna9zeh)9NNX!rwrH)0qeD&y_*@5CvD%7oFu( zK}i$LZJc$OyxPclPUT05lE3h7OIkB%PXM5zYs?5JFHJ1)5iS$8ZyQY45t2J+(k=OB zl|RrJB$X5w5h?X1@XF5YODqeac5%6d+XD)=QabXCT z39B=7@4Vj!UP&j$mmWMgh=_*|s$NNE;!^F7(HUIf2+qkm6j=Erq@XZ!FFiu4E@jnN zRjKUb;v^Z(59tsCD4CWreHmP41nA2Um}E4>uCYa<$wsT+n+}Xgr&+V(oI9cAC__MH z)*Y=V)(o}2SFG0af@hX$X}uD#ly%Zrl|o!SQqL3!>xB_AAWX!H zk)(c%-Qur*DChf%YHdiT-coP3M-%lUJRVG}8VaRVZwV$$gh{f9RcHil1HR}<5bsRz zMV~j5ESkq??FrS_$zdWKk&xd$w+O!oYR?K~YHq`aPv)z?@e=o}{aQtI@L z4k*f8^{7gxDsR}N#Az40Yx7ZZ&kjp)(K~scWyF@WS#u7n!0nuWT~C;>7F=^hG?W?D znD`{+>8NjZ#_Xx8Z7#@0K5@@`88eyo!DY+*p4RovPubHhmGL+z6dm-KN&+KLuYjv| z@SXVSO44|l)0&yF_ENz&QHx2ocznigZ3|wK%jVGshQwm(O4|wGipm&|;J`54%_!a) z)H;}|4C<%_&Tt7(q~JDt`c%?%x;b6nG{Ec5PutkvF1k=Is?(?tjOR4Lu(O|J@Q4ap zWh1!72mw05?tBkigIeEb74##m6J^(+sEhHnL`Y?tdR%3T3JHNlye7()^6%P7#nF(< z+A{m7kk35^ zxIuaOQoExuo}1B9F@Q0YQ8|7{TrE zD!;SJ&Tb)>SsnjThGfYwnFGw_rRD1KsZGQ)m0P=v+JH&VTj$NrMqL<-Dd+Kl)9m5F z`Ot>nIWt;-F(lapq{3yAY`3{J0ffu(V!S7qk&az?4hiP33|m9m4*XI0_Hg(%T&78I z*R4?lQZn7)e}+IOPMf!)EgJ~kKE)j4@^pILTJ~Su(JNZ{X^N+##xMCZ?`VIGWZtq8 zf5%@v>VECJjTO;Q{n5X8Qqyt2mX2w076$+>?vUX!O{TaUAH69MJglXcFP=nd7qeP< z(JId1ogHZ`RixNuqn0%M@!aYzj2}~ z`V4d`x(Vp$>rXxv3O#7fz$PFcXTu{Q<;p@(vOecj0_?jn;0Da3$CeYz2{$v7hUbjQ zdt5Wt-)@cpYBu4Us7`*Uu9bfJCypI-l5+d#u$|u@V)vYF7L(OKc6-d#ebXW*w?rbR zZZXXal{gRRRwS6FTngf`bRepqDit>4$)3VyJUfBQ zL=E2+HoHqe=}IA4`mgIn#;iYy@s54I=1d-ZRfnc$qA&~;|W z+pC&D3bt2Gw#2iM&=~S*Dj>e5tZz|=Dywn_rGk?%91M9SrC3xhpcS|bi&_fp2YwF<=x^60z zN?rjRCPo&Mj#GC2Y9(p?mD6eeSUQ2+^}!Qu2_e>cmCNRcUNv1+hK_dPen&5@e||M>~y>aGE6EfD>lv@*XQlUC)px<~%(F z?^Ej1rS^^8VY7KkZ4bep!jn5!C_PVQF7_`)V6*hy09Iyh*hfMnI0&z^=pV$AS-of@ zMAdoc^Qg_j?WyY$SxryR1Iz~j3oesOrI?wd{aG)aq_@w9on&}E$As`#DNlY%u%;|y z!vg?+K1F?E6RQq>N33duG(7$|7og!XmD4H|=|S%WSICrh)_egJRZd}EP^nSZI2iJc zZpNQmew4WCtc{{2kciCuy8|b6OT||)@srJm1&?LHz^EP1&iq-=XUIKzeu!i!GslY@ z8MG6LxHY^Hl*HE%==K|C<~UF_US7}J(i!zMvJKFb&hwIyQgFw_;B*k$cKcl9MjJD>W~8Rn^NbLn~wgQ4sVH9HLa^rPIB2SCjQuvy1utxw^Q}Q1gmI z#FHnF5ZAJABjl|B1(#{IN+l!REN4i=? zzBIVdvVtsmm|JGfs(eoQ(JJQ9G}?Ry)%jR~6 zr)6AG)IJHHR}Grd$jInb1P3 zvl1f?ZN<#~W;Gx2uo7h?z-}xcMMf&0{28g7%+H;*+l4?XmhSCxn(1#=LQtFQ*x#(s zk4`7VUVQ?=U$&!WS-!TN)HSAwv!$*vk@I%cu62f@xUSQp%liGIx`x2zCvH68GX0g} zG7D#jMV345yb>5G{Ypx&t~z%a1i!61=U$7g@zt~2{Gt>VsC?K8QZf9u7TcSR-!{5) zpf2UGsKVdxIo5hrF6(Y+y~-K2V(1W$Pm}bmjHF+uHFMn(f|mQG9}Kq(D@eFD8cpY# z1%drF>$cs|Xk%DtO9}MXEN4WU6WN#+)m*da$Oj-LKGto?_xQeQ>*$_X{rtNsxW!jr6B1271iu?BPLl$=bEPM7*nDZ~qRy43X96lVNQa@jANs0urRh%pzo45s zt#hU6PF}_4`(QuxUgt{FJw98SkxoB!W9LfKojY5ar5pX(xzcn?{$J6Jj_q9OKXV-9 zdu%`SaOX#C)7bfXtMSDJ2M zRZ4V{M|XIxG~Jl0*t%AtUp!ZuZaGzKU1@ZX=StJ9q*_`>H+sr*rRf%tEzL?EUFNyc zboZyyt;0s&d9F0w&8gTry3vWAD^2%lDmIj6DM4>~u5`KFt0axAqXgaRxzcpkrBb3R zjsEpq=@+>ktD_qo?YYu)pQK{zN~6d9gV!Nm@xLMmiX13%pvZwD2Z|gha-hh8A_s~b zC~~04fg%Tr94K<2$bljUiX13%pvZwD2Z|gha-hh8{|g)_h2Q!myEmvDe$iKK!WL3m zI7^s-n;IQ`Ufx71HiaxCFngnF>HYEM+e4O&r=ls3{x{Pygs5&HtWDTk6qPe21;3tv zWJ?AMc(+)vltPRvcWlg6gs z2w9hX{Vf@CjppMHR%HRf*H^QfnF6&a)^P73A{BsY-2+WaNY*{;J_PHYrVm+bxLsS@ z>XKP-X&G!uQ2T!v}DC-uI_j?=(5xub1`{J zYgY-`WJkp{PP-E=;yiAzn$#YJqF7kg&@6s_>fJ4hz-k~LHQyf|xS|S!? zh0^1fA)w4~MG<^}&lHBoAs>y90$bBsFnxY)CGot*)v)2QP&V#um{&b3A~v*w8FDxoHTFpqhct0*aMx z^7qa#6Dc<}s-ABLqv{2AwwpY%syUVQPxe>zju1OKq6U2l)dL!B!4#&uWlo?ym16ZN z;4_Ws=*CTbDFNxS|bPw>0LnPuaF@79C75hZvYodIT-g7@F~44N!2IY7tZ4 z&$GcPE6@b4Dc>wcjyI9Ni{6F}1ct5V+ZqE5W%Sjl7yu;sWkPx{kL2%r@W+tG_VAAx zOSl8opVFi{v!fx=#w+l<00}OW$A}2oe*%)H=lo@)+8ed|-&`&Ts-H(o?JHJMSn#e- zgqfzp?uw{04Vp$OT=gQZth~WBg}SG#z-N@J;05G^tgwDJwTSFHzlPYV-(!^b@v2WH z_mr?xwD9gJir!v{V3eIKE2Io$BM;tAQujIR{?uI_(b9H{!^-LHfH$Qk?Z$4aaQ7n$ z$&BZd`=s(xTEI;olg;(4hE4jtsYHkOw;2~Etc9pAs`m8fL4Wxjx2H8W9z~u0lkauS##N$7c#(-6as%2WuE#y7vxZ zK5&aOxNlfOoC8%>d?lpBNx>s#m-m~Sf}Y)#(cQ%CnxpzfU~g4)+iJw5>{Thp$N*?E z3##Ww?WDF3%Z}=_lFiZL(7dQ|n4u9FT&9N30OQwFLN7ayn1@`L5zND~E~;V)q`VB7PC`=!^^$k>3N?y;%KS3Pw)ggD(^LmA;tt4>{@+ZEmvC&BacrI zSC+>x_z0KDhtCvw#6ce3q~tDtaAP}3HgWFq`)5*z{OHipqB*AZ^xr@giru{*;jj*B z3lHuAuw?T}PSeY8m_+Jswz|aDx*PL;m=|PA;@let!7?{jdwtv&N6+0%#;%T1c9pr7 zLUW25Jkw+k>ZQLXmSs1kEiKEgcL!!TKD^f97{<-%p1d|MqN9K^Wi`qKW6z=4;7;z7 z3`jn;+v`3jVGpPKTf^;saMG!{IAsoj$#YvS?^9iiSckU&qRNw_GARV{8RayrMtlPx zx=4!72kir=lFUGhwZ5aw>tizizBYEc#oBQ6jGRBw3XwhR=I9_T7zC~zlp(baf%)z) zJIz207v5GQrq4K3=pEpmAjhwn>mgMir=zjeRVxDiSXHgl99aR~ z9o@yOyH+(pD1nCRN37=b)3C-##)GN`TL|#i0xVpn&nZ0i5JnFK1IbTu)@povn=NK; zZG8K9!oNHC_BboCYq?=r&AMJi!A>k^y7UC3fC9qYvsaR;vqv-fe^>2D^fHCieUXeq z_5Gd32xx;5v3H+5dZU~Np(3^s%i(RTPo=mipWPj_#4PBipHJjeKYi4B!vPB}QxnBx z^gpD9HGzT}Tl&m65_0_BS$E)bU(o)nEkw}hU3EV43wds3p$L2<#p8Gf4gx_bz1;kp zh^2ZMOJj41AntzcwHOIuoCk=>p?Rd>(oONX+Qd;wyOH1rdM*b?{<9!^``OF_V8S9I zd+QRjQ}wyRm5wrkzR;t=Se0_M|8X>lPPRqs!hXNkJY@{(L)kYhdPZCbFZ+GQ1({Xb z{9euAA4iZff&4>lJR|aCRu02)FNEQ^mz_YW&Q!v1IEglaAf?L8_ay?#<9|4Ogv+!U z?&|o@!s0mSqVifJ64EHT8X8GXoEQz{?0m9Dw{X4Y1dskFPXY{FrY(ra4|^D-n1~wu ziP!WcmbLv@iS3)<^|dVSusPCWDxwL0GQJL{r^XT4nW0dAedB>Zup=;OSk#^#)i!XS zket@oHx|{FVP7+1*s4~{aLebDyyMGXfjD-Rudu7z=WEfge?~5Su{~l(H&+kikcnP9 zN*~-tftfxHo)Zb|E#Ual0h33D#M1e?j%+aZbZtk*%BnJSk+8w=$lR*=dOyf#bj$GuN<=u_a#uf@Z?N67c*mP+1T)=-=Sd@^#b} z$~FHx$D}d;;DKqb(3J4Mb9w)2nCHw+t2)o&?61)KPniqI+>!w>Wx&adUuT zWmy$gDONiII4>robiLarfO~fMk1P|O4{u3jvr~c}lvr$2AoN|(<56*OVPXb6xJ(fv zE-Y*15IK8u#BzX$mfZdmKChOt_r#*_?9NAVUTS@AUqWczc-hTqSHm|se?0F~C*&)k z5=DYL)k+u%x|2D&P0j5+b0zuaOdB&R9k2MAyvmK)%sh`3<-ChRGQ$nH;gcLKIyoS2#j^b#DcI z;27$(yR@(*>>*%_vDvU@2uNp13&*V_i^j2{) zDT>bq(*>ZEs5_3ER9I?XFqKqXV0JcEobRQX+9Odd;tkBrIk&)^6U_u^-3~x-nOYGM z1SK)I25O|y3cAd8&3jk0+Cvy0PIPO5Eb9PP(RAN%u%M73 zd${D1zVt^Ak!AhNY0t90?rf;0kKG39SUG=M*&yVm*j8O(&ZIHYjMt|{nkff#McwbC z=$sVb{3$O*N)hMpIYJijiPgiEHz?;%F|($dlYseKz=F%PzcWmKR#Nx#=YzUmz&Z6F zUj=Sr zY~Ma)gzViXmy=(bGa$0w$q)l2rf|sPeN70ton!}uDpd-c#^

7P?mW0;lilo~GgYPUO^D>-Pp)nzq-En;w=b@qG+zkSfF`BdG^tjj zO0#z#i0Uah6t{oaPL6&!jv4UBtER}y>9obX?K(MfgC7u;)mBPHRCxgo#Is=aJiheG zl_Ywt-;$UN@r|#k3q^4NN>L#?9{0vaMSF*$xbpYr;~Uke5bSQRonkXe6==;;6}a)( z@5qVYv9rsZ-!!ItyVnnl(Fkh!tXJLSZe%2P#7HglX52o)k2G^flBzKdi@)ljq|Z_{ z!YXnRu_4^1%2L#xN@w_1CQ?#tR0E~_(qZ4iPsk!aOJs8(mGX8h7?E*dIHF|Stwk|F zNJUED8L5QTwr65HGIdS!5wf6fF6L6BYWYRSXrv1Wd@-9m8mZYM@Tcrm(D+R zCaFG^U8+<~Q(||7?CO3TV{s`8y~9W;tlkAGp&i@XNH{~B{&0IP5eXfN;Q^n#eV!d{ zgVThbI46nwOCJzzDmwNkW$%|kbXi3xO8yu=8%&AE(_}cK7o=0R?f+;e;V-yTws4*# z6Ta2qFCuAr#N=jTDB>POQS!$TlU)wLq7#1#9ACPz{Uwr_8#R;F>DgjlJ82VNdopSc zC6B(~4Y)8CoM?@y=Z~zC&r)RFloS`G9$fx1sd(LL^;cB++>wge_6Ut5sfNT$q=Fvq zNKDz>Z=E*+~TzAK^Ds}h-eIFOg5V(zsl|LWS_3S$U>|b zW5e%GHgO6=5oMmXL&qF3kB9l=OZ^M?LS*>8+&Uv)xmFIc5wb-z&D;c{yc@9KGKoe^ z*h?iPvX{OI%Kn2l*`3L*#(j03+^pQIXE~xa4Ck8l^3xTZ?BeO}6^Ppd292cO7Ua z7CBJlK#>DQ4iq_1P~DQ4iq_1P~(OnOLq+}*{MEY|1!K|zG`^KpbQuc_8nk5-Gh6^BA0Nr0v3bZop)Fd-=xBH z6|9G$mNG`y0s~!KdA4;18kp8m-tdL71%Kp0)=@Rx@eD)W(HYqkJ(ak%_WzC(GFUA(xKN$KVWM$@PWrH zz)%p5kznTAdSSA)7Us91q5mg46w~4^c*D1q`<}7ma)piU;a;0(=8c*lLuz?iPu!_n0RZ`jw;%+7q$yQT*ZZm>Y_c}Qd|Y= z;YW;~t;Tv7Zs-fMY}LQR_ArhAK?;&@k70~-kLE({;ogBTjY46Xq#qb8m4*8*Taq$H z*Cc(1<;$~w6{Z0h!x3x{%J;`&fw~l?u{{iD3-hL1!u$d9G1kLy%Fvk&gN7-rmw{0U z@Qr8w>Uwp_)nlZY!zv_9oka99Fw)Fb%p&V~!@tu>q-)-M|9Y5`olN{j-f-nvD)o)m zz2kV=sS;pH=o?rFi+_g%GbDC%rs2QJBI{wHo-TyKe!H8zVZYjmQ25vEUxoQ*;0^m# zsXZL)_}A=T`g*XVGhgkZGxNhqZ?15r#1^zRJq zG1AN+7nT9fWStt*fAxQ^9)7*w*@Rc1{XETu`Wq0eYZCC1LDn~rMK1i#Ak6M5fkN`( z=rh*S#h_unt?xH%>$+T~8{5Mmcat|P%k@NcOFq~E88Y-(d;@hYC`>aC+pUhSYeC_9 zx>Ar#>-0cfw8uzu0W6kNdY&5EW9WlCvkGD9-Z9<2lhwbm9LEZsY%<lGZ4V|WoPFAoUrsbXRhW%=H zS7S^II^hkAtZ$%BB87Ql?0`&@3JbGa@-f!KHLy^}Fv^D`(I8J9Z@zy${D_g{$y8OC zk!-DczUf-fnLNLLJxobMJ$wtKdJ2?hxvs}5)E*F~u1Q_+rVtIBd{CHN;jTIvQ@Ah< zU39>%+VcbIVZPd#65w95T-URri;k{qK^O95YVA^S@kHq)Qn((2Jb5f$*8Dqc4?|_w v^>7`DBA*98uJA=[cdiMO2 +R0 +Iz;e:HXZXKCS6H1ECfAE>Q3 +R1 +R2 +w1650373396 +8/home/ir/Documents/codelib/Quartus/v5/jyh_4490_5_testbench.v +F/home/ir/Documents/codelib/Quartus/v5/jyh_4490_5_testbench.v +!i122 9 +L0 2 23 +R3 +r1 +!s85 0 +31 +!s108 1650373721.000000 +!s107 /home/ir/Documents/codelib/Quartus/v5/jyh_4490_5_testbench.v| +!s90 -reportprogress|300|-work|work|-stats=none|/home/ir/Documents/codelib/Quartus/v5/jyh_4490_5_testbench.v| +!i113 1 +R4 +R5 diff --git a/Quartus/v5_testbench/work/_lib1_0.qpg b/Quartus/v5_testbench/work/_lib1_0.qpg new file mode 100644 index 0000000000000000000000000000000000000000..c63227d5ef13e10fcd9dae0ac8dea8cf42fd0a73 GIT binary patch literal 8192 zcmd^EO>7)h67JX2Gj7}C#KgvNNP;IZKM905zyxAI#@G`AQncD-eTWdkKx`>voEVZg z0tpF(5Fjok&!tLil4nPeJ8;y@qz zbJeTAS5;m8rd^E=Oa?($7~pcijlfXpf?}jt1eUR00^Sbn0^S9Tf!l%Iiao$8=w2Wo z7*V>c*ay4?{B^(=T@b7Xz6!~H;OD?g6gL3xje=kRNIOG{8x@BYHvwTL*ao~6xE)Bn zL0!L0akJtU#jT2$11Wzgkow3#VeH7#(I_ZI^JDen#If4aVdC=9`D4VBC&q+cnwwuF zU4LloAF}@7@soll#!eCUjx9V+JThCY636$gp9+o_z!&#H__5)y zjYcB~3cWun{$KG2#a|T9D!yI_f{N}d3W~8eSd1%Tuo!m(9rz^M;n~JOTts!KKXb=D z2M}+(e`c2Pt6nLF8(hWs-?)cr&yadt^>zZW!+!X=5wG#fx-kwe5Wnv6@*qX8(|Aik zxjA0uId{Az^}7h{B;Hvh!uCr%y!bHaQoITJ%twR`w#o||n)0uzAJW{v-%HCUCEm*+&wU%xo8^gp!Turr4Ul=Z{ZlU6 zm&Qk1qO6k7Z?a$(= zAvB90kpM{iMis?t{pDv0kJtU*wa11q;+;o`{{=u|6@or_~Pa=CPCQU&ixC z?O1{Hg$0Y@Jhy`J<9Qs2^=_kcZTUjAI)Mco6^XEvX0 z{X+81bGw~Lm_*po}X&$(Rk>oxvPl>S6?54i&1wJ2oc6C$`A(m&of?mxPh&lw#(F-D^?VxZ`83w^ zX{_hdc-fy%<7Iz7jrDvQ>-jX+^J%Q-(^$`^G0vyIJ2!mq&zoH}3~YP9asDqnenidz z)#~^j&YRi2vvb7ysk$IupJIpS+PGMFmhT_Daoh%v5A!`A2ZhBgz*-xe1fTEyL0~^{ zSmns4-uZ9{>xf{HzjJl~hk?6*JO>dk1MUNwosY1;Mq~3)u;pTW(68So7$$~724P~j zj6WKUft9XaMU{_mFd(1j7wkJRG`+m|zqgBJ+A+QVNW23pTAySc1~2{XfB@<=$TYrs-9kssJ>cb2`|2lo%=6dSe@Xex z_Nhnv^iTUj!#>Ybqye}>$NKCE3JXI12{-m1`+(;a#5?Wd>&M%JSD3~t>xiH6qQBC3 z*|*f!Jf-!xTkA)A%tIB(eH(4^w9ERP!JYM!^~j}peh>`Sy@++%*$1Q@p%IVS(RdT{ z%ilkgzfI+hHu)~_>GuQN=~vd_cNjG6C+3%Ov@_}XyM;cFU(P?u*HqqU^GiSU`xWl= zE9>xE0iE^B_a5!s<@vjX&iG}XXy-fJX=ewJ`W2tAWKd}Ut}uC1>%r%qb`JuXN1-!z znODjmL_%qIkC#izO7BvdQdzqg4`TQ4K-v`=cG)jmGW`Ow<;6M1KC*p%s`1XLU)xuo z&Y`E2Kcf3J{+TxX58ChrVd=!xbj~^z&oI);U*O#V2gYH{+8iEc@2%eWm_= z-uu%!9Y(?_*Q{^#v$`JAc+B3nYHt#XjYfZBc`$n>S4QKpj{do6FSkSGe7~)gv-xgz zKhyeHU%z&V-v@?x@U+&wjJ)za#JttizJC8%ldo=H$CWCixgYaW(S7^p_#EG!)Auxv zTC<+eaboLttAG9Ol=_D{t}rqGwjcd2mF%DN>wi;y>(39>Zy%PqUyHkMl96Ms=sLAq zUF<97Ma~bU!VCQVRnD9vEDrVo?L7~BtfST86L8r#cLMo53r6l3NL-)O_#%$@?~^~m z)#p%fGwfQ?446FO55#uuR;emzd-skamAob6BhvRCJv-&djj zfcja{`bd0;ll`2H)7uMzMU}^6DRKEacRQgoaaFL+xU3JneyH!0bMd_C_q{@S=I^nl zcq9(Q!{=r=lecG7?j^+mXd_P}R{-&z5Wl1=N?+>N_cI%sR6=qcqpo?2;#a|HYe;lqzV&xDTi9{h3917GKn<2(cZ4$%W2+vWM! zF9SFG^=Z+^y2{IYkp5+zdQU{+9l*ncH*ap{6+w=C0)}8$TJ5KRB&JpHG=*Zom zdfqPhW{1{bFL_t(jDVsYeSTJDIS5zveV@|Wud5ozs^~>7rTzQ9Kb1E-?eM3ve}3N5 zI#nQuI7YY+_QN)%b-ugpp58Bf?59E+DEv%6>;(Uc7X9$P`WdBO=J{m*fSyu*+7DNH z{)F)1$NJ$a&##Fd`21eZ`d#h$)3Oe}=mUixxg|;{)IdPupC! zdh`9yItfNHJ}B3=uFM1Fo9jw_OFLa;R z;O}f5uJ!bk^3yu7?;tlJ{7fBa7yO#&f&T>N67#_yRNzm`I{2aw6n>@-tY5W79sYHJ zI*fVyP7rmlzOcGs8P~bzi_a0_$z2E0y9jmoZ_|F7=g9RMpQ0}p@+fp>j&N7!>onYg5ytz%~^UfR5y9j*__j3IP0LP}F literal 0 HcmV?d00001 diff --git a/Quartus/v5_testbench/work/_lib1_0.qtl b/Quartus/v5_testbench/work/_lib1_0.qtl new file mode 100644 index 0000000000000000000000000000000000000000..c5b5af1c942f7274d8cc3012c0f72da95de03c49 GIT binary patch literal 71397 zcmeHw3w%|@wf>%cPLh)Z;t^6oK-o!9gYrm1fFQ4!L<`kcP<*sn!z*481cXqrLPeyC zVk@FO1#aU-5z)$3R8%Uof?U!1sQ9@4vDGW})`~CSuL5e3-0z#2b+U8F<0ObxyY~P99+_FQC^N-p{_F()y&ruzE0M^!Ksv!n1vvgHk3xeaS zp@u$0)5A(dNd~uPFkt;G>}mNKJgkarIjg6} z+j*+xli1Dz(EaT+{T->X^{CP1&`!Ze==?2jr>S?#@3aHIea=UNsjt1Yw7}2;;Yhq= zYUqaI@OMW$PMTJW0_=bc-vKNG^ejE>q(m;cVnSuuNsSa24=5n4>{~gWaNy#=M@d$KhWh5&8M&kjOMV7>v#*UKm&mW0u2Nj_}9_^UY@1?mnVD_ zytK^AG!%b%8axH~5lBx3>sdJ1Cq~C7Iv_(qlHHU(i_SF91NHX$a2{>y_1EVE$m|9F%}*R z$ozB~SRNV(^`0>GQoO{&RlO%pC+uA@bsXW$ORMM%gsW%t*7(%%Rg;NN9NT-OP9HP9 zTEiK=e?r)|_a#>lPN*!VQxP6eP}!HTvbt&pVf9$UZ`_1lI==%5rz5PZ;kb_rJYbxk z%ug-+#KL_Rer%z2k}^NC_!k!Lx9~$~((e=*K`9YW3rdOP!AnBDBB-u*a!_6E+>D2p zMEdxu>3M`jeT*|hy*qHO=q$T{Z&^qxoi4)twe2Hx%81j+hBK$>lJ1AeI%(omiTq>1 zm+}PyttTJdtMM6tB4641H3Y?3OO`+N$aXABl0WKS&uNr;3j~{b^-r<*>LmG#lPUZJ zCi3OS0qgx-l6>Xn$Rr;HD zag@b(0J2~Bp!@rSILkF5x2QF8zhmWQqh76)tDStXX;FLmoUr|+t(U7mURo-*y_Gw; zIk}hT#lT)}|NMONZTAdO*mgNs;#``gu`a~n`Tg3m!7S`v;aI{7BIWp2w z=eK@9#QFgd>jy-v9}uyAK*ah15$gv;W*R>rGSm105$gv;tRE1uen7h{HX?GN(5-(SY9(1~G zN2!kw?Mccb|6=+()bA|ZsUO-4^bqq*KgR6jY`lJ}tlj{$-iDd{y)+JaluN#82F7j$ zBwx12&zF7yah(nFg)i&R{y4*=_tLnJuh`RCq?4~_^2O_Wl*IvX)$wIJ$#(=G`&r{Y zzGA;ykxstdOujf@K2HtRm-QjvQ6{~Y#=%$mbs-qjKH2_a^aGz`f4?WDn{lGfF1p~w z;V;j6u)GFn-&3|fgg^VwpMJJ2FZF3>pI7EDKz%qa`11=tO$Pr&c$IArhBr(S*QNi_ z){G~R(;38N0Wv-R0(;JY>@rU)VuzWNQxdxBM7J*KEnR-QI z&sp5)CmLIA@gBN-G-kgO_9v2YxiPWZ(ZI-;RMLNB^U;pGz>fJ|qa90oj6FqT z!$7UAjmF0@8P}HBc3x)rM3Il}vM@dlUgJ-PK8~Uo$mbp3Rk34jy2v&56pf8QI^{Ay z#|@EtXM$Xg2b61Jd^{7mnhv=fFPoBU>?s;E<4`mvnFf0}&*H$ESHF0?<2MLf<^wek6-Vz<)I+d$xRCgb}?lqP@LHTzA&u$lrC zm#cc=4u2N4H_wCUhw~t8uh;?k^EsFSNdEU&{V^AxBhgP{IkBfjjg%ArC9#}5cQW22 z%9DOiEGN&+a|!a;PU=zX4|?Q0Ut6CZH4ZxM@+i=CcIf9q{fAp~o$>2#Gj!aIp0gG@(vTM=OW^1lwc!EnR8cCnp!_Y>)J-W&=B+oi-$g`KkAAmcKmLc3w8N z&}T>RNETT7b-EaC1-2aD5B_>}Hh6`m<2j7#0GfM(fM`tnVNzcbTo9 zwhPz?$CZvg`#9d_AC}NQJI{Pj`z$@)zEQT^G2r93BiaG*A(pPc3;4WtHS{t~N4w4U zgsVJ5uh8W{*AF!E=NNjqP6yqVSGk5hSf_(-pI?<{^XqiU4@kZE>vx>ND>NPHww%&* zpZ=|W;`Xoe;r&4SZOC3g)|V|8|9;bYhyHaigHQh_>fE7;lU+S7Wy^SM=k*WLzop0Z zKg*Uo0esj#dA{g>Q2&-5*H3ptFVl3iTlCL*Ab*7}2fFD0BttLP>7d*4+RsJ)!8#pu z(SJ{ypZ*o!FFHRU$1i{VdKM`?mjz4>ee*VLOAmigX(=!b^peVRB#45oc3W$mD)~>XQ3i%;f%kOmYE}OAv=6%u96g08_e92jh%E>AC=( zqP||gi@g&5(=1BJVN3})n0OsqJJzwlE9|FJtKz9fy1pZ|KT_w=OxKymj(-MMpn*UG zfd&E%B(H%W$i;DjAeSJ>g-?usF;<*2<6YDR&+ucbpPu*Xk0;%3$@_aHz$RN zm%^|xsn(jHo_Zez$?BPtvy>YIxg7jl^7#saT#Q~YH&SmL1ggiMAjm}ry9Plnu!kVX zB?xlixZuCcq-YT2!bwpsmU)2wMPymrGCB)_T!J7MT7tXSd|$Zn;F=6jG3g%!x#$O_ zPCs*vzZ}b=ez2IKld%Xn1|MEr?B@7Gy;T6>x$C8IERq;J2y!XVdI^GDJYz4hjn4~bxx{}QTW>x)2y#J)O%UY5K{mJo4FnnpG;rt|DA6qHBHx2;{iqN(*w)WX0tMUp z1>5=^`XCc<2s99AAkaXdfv>j)f{5oJ;+c`Do;K59TR*CSksZw_sbpdJ)KtMI;B?`ZXP~9c=4I{RG?k z@fSXr?2B)oqfy|=LQiK5BA$)D>h3|rGj-v{f{16M2ib;?5kuTIklUSbTNw?Yry$~) zny8EDmF)zBh-VYIOh3o3pSeNAGb6f#ZT(;sjCkjF6vtcM8JU{6jbSP1+}`I53uU{m zAmSMcf!=~`{d8n=d|S<6TR++bF1sBVw*ljJTYS!HYlCh5s2V;uvVCB##)EDBs0MCZ z7;Nij-b=x@etO&pw)Mkv!TFXT;#uZ<8$Avvh>!tu zF?q$aD{sfg70<4G9*}2O67uZI%dmRfnzJiet|ezzGXKBA*_CfVul~K5@hf*+bwMr_ zg~lmCw`e*)a=DG&TNaWki_zQ;i)Tp?&j;i-W&U)@N7%rg&kY_NE}Q> zcoPJ%wCt?RAc)0`ABp1#pAxbd^!32bvv6< z&MxYK+HspVF18USb2jA}2nt}U4#&lQXRyKfxckre@SjcD(Ak)+31ac{F=y-4o#7_I zMhtGGUT81=ZSc(EO5K@oa)u<|i`>3zn8|<8*_7tJ;>PA8oqTC;e!jBU2{Nv_?e+Lx zB473sw*}KUwguyOgM9HbFP8%&Uk}jFm-bH_09PGf+5!2F03=_H`}m6eZa_Nub~E|n zXKV3!YKSlON4}#>dXr~U;<;<`Y|0X((~qZJ%*LJd=n4g3yR=v*RFK=ISwHe1`jSTS zTYvnZ{9>mlWXvonp}b^x%yX!i|mcSrl8o%8^t{b}51f95-@<=YC{ zGvB}RvolMLpBv*i)=+zKRGMz@AlqJzH_=|bO(67BYV!*&&%Nl!Zin6KZ3X4IGWCka za#J?UJK*nv9wpyzl0#0)d_MB zbz8wlEx+c@&V1VD+hC!G{_u~#@?I9$+}W9O2B-O6tvdsg?{7Sx@%Lxq*_p3e`RINv zKk@9$9X9>-7S>aqi!b}^%%_cCe%Q{=l;=J1EKAW3=fBub^1O&2BjZY9ITG#BP;)kq5E%?5Xay(;>e#4h_cIIx=--rF|%>7nQN7NqUTl{Q4jr-2X^N`Ln zBBlL6ak-72k>}}j3FgK5sm5-y57*h5*_OXN*U6rp>Dh8$_1T#{tlVVJ&MZl2U$WZ@ zR@idE*_p^@y0&m-*juB8JwLdBTE1S4FnnpG!ST@0SzRE*>D=p zMR*IdhAa$Qm;%UYt5iT90iFhU9AE@67ckwz48Xp?GXVjdt`=`&VOu~>H)R3l;B$vz zHa|s9hS}T&$S@m1hS_w&_j_x?Y*?-(VK&VFO$xKwQ;P_GCzMGynb3Y-W%6^E_TgYPvimku-OL3oPQ1NF(}!1Fa8jV;6oLi^-%%J35|_xk%iG5wnyo>GAJarubt z(*Vmy{ykurPW$qwH?;>$59H@^l0U!j(`4{ZgjZR8<8uYyEp_RCgu${O#O{}(BZ#Mg zfq(hd`1N&RB76bR&hr5|z6dUSj6dSWb|9V4k4|skG)5hc0zMpv$VcP8W#dG-$?gFY zgr`8E=;vfly|mw9u|c{YzMAlqj<91cKhloHZj3!eW5aAcWSo_8HwaHL&s`9nLc4Ke zhZ>$D@1rj}T;xU=G{-#|Z#QatZoiE4cpNu1^o1F4Sulby0|32ekNG}!V~JrH@;p=` zANfldhLn?WH?iE;C0xWVe|~AM<1qS3R~q-}m-=8Bhv<*`qWu`ZE*gJd z${r?0fAYR|oWGfQk9b&$2?sfN?-vhT4<%eg!e3Y~w#W2ay3)9>J-Jqn^ea$Yt_^oS zxIN6zaaO`!BwWPKZ^jl{KY+R5AuO=;>xlDeER4m5k5uUJ7QDBOAMGkl_tm!>cu+4= zUz@Md`Z7Q3E8#84hKop8i?lDaeby`QPc&qAA6 z#_!{wAM^2P@Mmp_^T|!{tv6pPe7`B-3g3e8ggLaayUl~dKZ7gKK%jv@1K$=6B!&iX z3bd)v0J<6s4d@NX&;ZWuwk9-S2+A=u;5ZDe3=No$mpMZNehtXb078Za41{agn$Q52 zYe{GT^M8{<10)ncLIZ4Ma;BYv&$N-U2ZajMK(Brm$+&#M4jgoexg!JsJ{1h)QY7_5 zd^R9Hd3Ac?lBFL{bnWEPr$lTv{VvAj?Tas?<{;ve&CGr@F~+ z9bhu`P1g0q#9?EO2+@07kW*c%~! zRpV#}+kiaJH$LYc7-Rg2*`4fSvI7OjXX?|!_;@NiGH5#b z;V4$iK2P{=Jm}aH)30^Mo-n^(?s%(TksC!i5$9%Hzn8fYcy7hT-*Ue z#%XEqv;?^vuPE2T_&6_eH63#K-P4fVD=oj~c7j-7^Ubx;^YJ5J8RrEyx75DK@{#9L zc68983O@Un5XDj}FJ{Y$T}e5;BL>QG>YsM|n-{qcnvHx|TvON+?!20ri(b63qM(J{H&2<={@EqT!QQX#;e#@ra zLqGAQOO5;V#QGh|68#1%hwY*q)4qd40X!>5+7A>L^n$y8M>GiqAieJW={R<2IUWjN zp67!@0di5wzvQjiK#uX->ikoY!~B%zug%wJeVL#2{lLmic8UJeg!U!7qeIv{ciEjG)o(}CC-5Pb9$!MY zOZR1(j&>VA-<75J3SAC#R0mgl>AswHNdLr^R~~Uk4VI;QJ5H$_Loe6qk{^)$=&xU% z!7DT!>9(9%%JYf z*O+;nNF2{b0_Hnvth^dsF0A7C89F^0&o6u$gP*t2^}VeJ>Op-={o0_#{GOEdRDc27 zVqhJdaC=0qRkg?=cyo&PhvX@Kxeyb{uEHx6Aa1`APA|| zcioc$71hz-#EXTKc=EgY=Jw&j+#jwWm{zL+H#6B81k)OQ)a~EHNl#9G4g!L&gzZIKRo zkRXR9Hc1GAX%Ua{H4LUz)1JvXaNm}__kDoduRmSi>A5%md)rF;fb)ANsyq*e?%?%M zkb%wyEX{CI2uF0l&N_gjakLJgn$`)w+`(Wu*TETej)RlB~1CdEqdA%B9PzcFg9g_DW&k&Cp5TG2GNqCaFVMbAo8~T_1W^y}RwiUu1OM z+^b^q#r;p)fBmUhDY2h?7hL|~IX5l3X!-gjPrScz*7VxP$L9aXA1;lo zp0(_Yw}zzMylcTp144J~Jb&Nh=^y;Zf){VRVAi#FUb(x&&bH+*zF9V~=AyDo zJ^MeiPX6Ph@3(vG?GN?$Xw-{(XiHc1Z%%Lix=Nj?@AuaZ&Do@K%U0z)l(Q!1_M8WD zN3PAez2D{&Mm|)$`M8k}_21lGjeIC)~AviXwzCe6vlA!rY?hkQEkR9)&f8SNl?rblmVCEItBN zX zy>*Qtja4(B{^NZ0kv`o-y?^X0C8_F`GIu;TeRkCTO!aW*@8&w6ojG@C*m?I_=k=`A zZC%DQ>Gvn4gijeCTK}}#J2yqWSQOed@yRXD{9mR{=rVWr=dOC=#7O$p7l#Huul6pC zs2971cAfhAFVme3Lo?c+dxrbUt=A5AW?z!3UVX=X^?y>;HNSL69;06EH}49k`mKoC zvM;pvKtyf+Q|QGS^=kilS31>yPg7fJ)AsI6Q=50Dz4(TD^-)bt0X4LDX9}pHFJ654 zQRnYd)zvQ-J?IqwoAZ~aMw~Z(`VosB`RmFT)|5Tm|Lv+Q#Rq{(@HBZn%C}c zTUNYz|K=ahyJPqr?@##KXYCi}{p7e!vpSqUb@}j>;fqf`CBJIm6;F4oS)ID%i32tN zzCJf|-3`w^v;Vy1-{1e){2P}3wb%XIUReFg{J$3OKYjRV)%!lGocP(q$Je}h&$!E@ z51epa!4J>fn;tDZZ(8q%#tu92nyZHAu6kn4sa+?pSmEx!Wk%%9mu9@w`LY!~&)$<6 z1k;*%A3iV`N8>@I`M~@^ra>^R{(h(lf@#0~!L)o6wLAH#cY97>>;UW^wxi3LW$%>y zr+!4>Edlymsx0eLWm%Uh%eqw9Y7iMi(=0yMx?GEls})*q%dN@KE>~z{w)UMuTeG#J zq_%g$IfwA2c3W2}O0ljwQmJ;Gwa*h)?VWsjYl=SrfYSxfO1nndvkKz?_j+hYoB3#y zxsy%pY|t+C(PM1pV~xZCfs(N|_gK5}qIE+Twt0Tw*bmNJc23@c8OP6genG5z@m1H% zigo+=<6GBH{bJIX2_06f$k^O@(`zq3J$m7?iOXW4-I=TQ{_4sLT=nqsYxX!*cl~AF z?SFoB;I4OP?B2hv_YXcf@cB8fe)##DLp%TO&Uwx=1?ykjKHx9IC!Vw5-MRn%@r?E_ zjUHe0XzwX2{u;{JHm9cJzO8;gD@R@4fS;99wyNx2x9o0Jc4yh0Ip?eOVg1M159L%m zkaNz8oCotp{!Znr=wEhMzB+q@%GsQ^VxT&0WxjjvqXSs1r+d~qm9u8Bd)lg=p>rP@ z5-MADV)(2_bJm<3KJBia;q%rb(eHP$S9P~_!yml1!UyUe<^(gZHEW{L5o*3coHfx! zUie0fz_nTq6R=~nvoX3+9TUFGCQfsryHy9*O}|T<@4%t0bISL2T?1DN|F1EtNNqbPC!>w)w8`7F+jS}{otdjcY5z5;-A7x(>Q8@2 zdv9`zI`CfThW|=cLmmrdRHdnbpSk@$QaL+9PpYSuq^92KhK6LPsB=4q{(M53x_-7> zxo2msn)XFn>W*-@@PE^KKjU7l)~oPsE*BM4daC=wwyd<;ezZC+H=-`PJZ`=;k>6wM^M7$Ta!$DQmHQ|;0UYn&--pa@<+!yh7Rl+J&^&6p;5B~7IkTY|3 zXzk~b;h!)1|KZfmThpp<*Q{PYMeVuisSNd5r+2;3<7H{FpQ|)rsi~1ShJ-deXm^SM6i2L))(x$(qov^J>sSmIDTRZoT=<#m)cYm=a>ILpOkEmzoq^RFL9ZIih+uI#Da@eDx&o6a<`3!2gDy`(gbhmP0ED_a`>tz4Jsp$6LZ$9aG!_s$#+ Date: Tue, 19 Apr 2022 15:56:42 +0800 Subject: [PATCH 08/11] 2-3-5 --- .../lab2-3-5_KeyEvent/lab2-3-5_KeyEvent.pro | 24 ++++++++++ .../Code/2-3-5/lab2-3-5_KeyEvent/main.cpp | 11 +++++ .../2-3-5/lab2-3-5_KeyEvent/mainwindow.cpp | 32 +++++++++++++ .../Code/2-3-5/lab2-3-5_KeyEvent/mainwindow.h | 24 ++++++++++ .../2-3-5/lab2-3-5_KeyEvent/mainwindow.ui | 45 +++++++++++++++++++ 5 files changed, 136 insertions(+) create mode 100644 SoftwareDesign/Code/2-3-5/lab2-3-5_KeyEvent/lab2-3-5_KeyEvent.pro create mode 100644 SoftwareDesign/Code/2-3-5/lab2-3-5_KeyEvent/main.cpp create mode 100644 SoftwareDesign/Code/2-3-5/lab2-3-5_KeyEvent/mainwindow.cpp create mode 100644 SoftwareDesign/Code/2-3-5/lab2-3-5_KeyEvent/mainwindow.h create mode 100644 SoftwareDesign/Code/2-3-5/lab2-3-5_KeyEvent/mainwindow.ui diff --git a/SoftwareDesign/Code/2-3-5/lab2-3-5_KeyEvent/lab2-3-5_KeyEvent.pro b/SoftwareDesign/Code/2-3-5/lab2-3-5_KeyEvent/lab2-3-5_KeyEvent.pro new file mode 100644 index 0000000..b915c09 --- /dev/null +++ b/SoftwareDesign/Code/2-3-5/lab2-3-5_KeyEvent/lab2-3-5_KeyEvent.pro @@ -0,0 +1,24 @@ +QT += core gui + +greaterThan(QT_MAJOR_VERSION, 4): QT += widgets + +CONFIG += c++17 + +# You can make your code fail to compile if it uses deprecated APIs. +# In order to do so, uncomment the following line. +#DEFINES += QT_DISABLE_DEPRECATED_BEFORE=0x060000 # disables all the APIs deprecated before Qt 6.0.0 + +SOURCES += \ + main.cpp \ + mainwindow.cpp + +HEADERS += \ + mainwindow.h + +FORMS += \ + mainwindow.ui + +# Default rules for deployment. +qnx: target.path = /tmp/$${TARGET}/bin +else: unix:!android: target.path = /opt/$${TARGET}/bin +!isEmpty(target.path): INSTALLS += target diff --git a/SoftwareDesign/Code/2-3-5/lab2-3-5_KeyEvent/main.cpp b/SoftwareDesign/Code/2-3-5/lab2-3-5_KeyEvent/main.cpp new file mode 100644 index 0000000..fd3e533 --- /dev/null +++ b/SoftwareDesign/Code/2-3-5/lab2-3-5_KeyEvent/main.cpp @@ -0,0 +1,11 @@ +#include "mainwindow.h" + +#include + +int main(int argc, char *argv[]) +{ + QApplication a(argc, argv); + MainWindow w; + w.show(); + return a.exec(); +} diff --git a/SoftwareDesign/Code/2-3-5/lab2-3-5_KeyEvent/mainwindow.cpp b/SoftwareDesign/Code/2-3-5/lab2-3-5_KeyEvent/mainwindow.cpp new file mode 100644 index 0000000..fcc48fa --- /dev/null +++ b/SoftwareDesign/Code/2-3-5/lab2-3-5_KeyEvent/mainwindow.cpp @@ -0,0 +1,32 @@ +#include "mainwindow.h" +#include "ui_mainwindow.h" + +MainWindow::MainWindow(QWidget *parent) + : QMainWindow(parent), ui(new Ui::MainWindow) { + ui->setupUi(this); +} + +MainWindow::~MainWindow() { delete ui; } + +void MainWindow::keyPressEvent(QKeyEvent *event) { + if (event->key() == Qt::Key_Up) { + ui->l->move(ui->l->pos().x(), ui->l->pos().y() - 20); + } else if (event->key() == Qt::Key_Down) { + ui->l->move(ui->l->pos().x(), ui->l->pos().y() + 20); + } else if (event->key() == Qt::Key_Left) { + ui->l->move(ui->l->pos().x() - 20, ui->l->pos().y()); + } else if (event->key() == Qt::Key_Right) { + ui->l->move(ui->l->pos().x() + 20, ui->l->pos().y()); + } +} +void MainWindow::keyReleaseEvent(QKeyEvent *e) { + if (e->key() == Qt::Key_Up) { + ui->l->move(ui->l->pos().x(), ui->l->pos().y() - 20); + } else if (e->key() == Qt::Key_Down) { + ui->l->move(ui->l->pos().x(), ui->l->pos().y() + 20); + } else if (e->key() == Qt::Key_Left) { + ui->l->move(ui->l->pos().x() - 20, ui->l->pos().y()); + } else if (e->key() == Qt::Key_Right) { + ui->l->move(ui->l->pos().x() + 20, ui->l->pos().y()); + } +} diff --git a/SoftwareDesign/Code/2-3-5/lab2-3-5_KeyEvent/mainwindow.h b/SoftwareDesign/Code/2-3-5/lab2-3-5_KeyEvent/mainwindow.h new file mode 100644 index 0000000..9de1fb3 --- /dev/null +++ b/SoftwareDesign/Code/2-3-5/lab2-3-5_KeyEvent/mainwindow.h @@ -0,0 +1,24 @@ +#ifndef MAINWINDOW_H +#define MAINWINDOW_H + +#include +#include +QT_BEGIN_NAMESPACE +namespace Ui { +class MainWindow; +} +QT_END_NAMESPACE + +class MainWindow : public QMainWindow { + Q_OBJECT + +public: + MainWindow(QWidget *parent = nullptr); + ~MainWindow(); + +private: + Ui::MainWindow *ui; + void keyPressEvent(QKeyEvent *e); + void keyReleaseEvent(QKeyEvent *e); +}; +#endif // MAINWINDOW_H diff --git a/SoftwareDesign/Code/2-3-5/lab2-3-5_KeyEvent/mainwindow.ui b/SoftwareDesign/Code/2-3-5/lab2-3-5_KeyEvent/mainwindow.ui new file mode 100644 index 0000000..3703833 --- /dev/null +++ b/SoftwareDesign/Code/2-3-5/lab2-3-5_KeyEvent/mainwindow.ui @@ -0,0 +1,45 @@ + + + MainWindow + + + + 0 + 0 + 800 + 600 + + + + MainWindow + + + + + + 240 + 200 + 77 + 25 + + + + TextLabel + + + + + + + 0 + 0 + 800 + 30 + + + + + + + + From f370f07eee8bc897d9800ab8fcc4e468021f9244 Mon Sep 17 00:00:00 2001 From: iridiumR Date: Tue, 19 Apr 2022 16:08:11 +0800 Subject: [PATCH 09/11] =?UTF-8?q?=E6=8D=A2=E4=BD=8D=E7=BD=AE?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit --- .../lab2-3-5_KeyEvent/lab2-3-5_KeyEvent.pro | 24 ---------- .../Code/2-3-5/lab2-3-5_KeyEvent/main.cpp | 11 ----- .../2-3-5/lab2-3-5_KeyEvent/mainwindow.cpp | 32 ------------- .../Code/2-3-5/lab2-3-5_KeyEvent/mainwindow.h | 24 ---------- .../2-3-5/lab2-3-5_KeyEvent/mainwindow.ui | 45 ------------------- 5 files changed, 136 deletions(-) delete mode 100644 SoftwareDesign/Code/2-3-5/lab2-3-5_KeyEvent/lab2-3-5_KeyEvent.pro delete mode 100644 SoftwareDesign/Code/2-3-5/lab2-3-5_KeyEvent/main.cpp delete mode 100644 SoftwareDesign/Code/2-3-5/lab2-3-5_KeyEvent/mainwindow.cpp delete mode 100644 SoftwareDesign/Code/2-3-5/lab2-3-5_KeyEvent/mainwindow.h delete mode 100644 SoftwareDesign/Code/2-3-5/lab2-3-5_KeyEvent/mainwindow.ui diff --git a/SoftwareDesign/Code/2-3-5/lab2-3-5_KeyEvent/lab2-3-5_KeyEvent.pro b/SoftwareDesign/Code/2-3-5/lab2-3-5_KeyEvent/lab2-3-5_KeyEvent.pro deleted file mode 100644 index b915c09..0000000 --- a/SoftwareDesign/Code/2-3-5/lab2-3-5_KeyEvent/lab2-3-5_KeyEvent.pro +++ /dev/null @@ -1,24 +0,0 @@ -QT += core gui - -greaterThan(QT_MAJOR_VERSION, 4): QT += widgets - -CONFIG += c++17 - -# You can make your code fail to compile if it uses deprecated APIs. -# In order to do so, uncomment the following line. -#DEFINES += QT_DISABLE_DEPRECATED_BEFORE=0x060000 # disables all the APIs deprecated before Qt 6.0.0 - -SOURCES += \ - main.cpp \ - mainwindow.cpp - -HEADERS += \ - mainwindow.h - -FORMS += \ - mainwindow.ui - -# Default rules for deployment. -qnx: target.path = /tmp/$${TARGET}/bin -else: unix:!android: target.path = /opt/$${TARGET}/bin -!isEmpty(target.path): INSTALLS += target diff --git a/SoftwareDesign/Code/2-3-5/lab2-3-5_KeyEvent/main.cpp b/SoftwareDesign/Code/2-3-5/lab2-3-5_KeyEvent/main.cpp deleted file mode 100644 index fd3e533..0000000 --- a/SoftwareDesign/Code/2-3-5/lab2-3-5_KeyEvent/main.cpp +++ /dev/null @@ -1,11 +0,0 @@ -#include "mainwindow.h" - -#include - -int main(int argc, char *argv[]) -{ - QApplication a(argc, argv); - MainWindow w; - w.show(); - return a.exec(); -} diff --git a/SoftwareDesign/Code/2-3-5/lab2-3-5_KeyEvent/mainwindow.cpp b/SoftwareDesign/Code/2-3-5/lab2-3-5_KeyEvent/mainwindow.cpp deleted file mode 100644 index fcc48fa..0000000 --- a/SoftwareDesign/Code/2-3-5/lab2-3-5_KeyEvent/mainwindow.cpp +++ /dev/null @@ -1,32 +0,0 @@ -#include "mainwindow.h" -#include "ui_mainwindow.h" - -MainWindow::MainWindow(QWidget *parent) - : QMainWindow(parent), ui(new Ui::MainWindow) { - ui->setupUi(this); -} - -MainWindow::~MainWindow() { delete ui; } - -void MainWindow::keyPressEvent(QKeyEvent *event) { - if (event->key() == Qt::Key_Up) { - ui->l->move(ui->l->pos().x(), ui->l->pos().y() - 20); - } else if (event->key() == Qt::Key_Down) { - ui->l->move(ui->l->pos().x(), ui->l->pos().y() + 20); - } else if (event->key() == Qt::Key_Left) { - ui->l->move(ui->l->pos().x() - 20, ui->l->pos().y()); - } else if (event->key() == Qt::Key_Right) { - ui->l->move(ui->l->pos().x() + 20, ui->l->pos().y()); - } -} -void MainWindow::keyReleaseEvent(QKeyEvent *e) { - if (e->key() == Qt::Key_Up) { - ui->l->move(ui->l->pos().x(), ui->l->pos().y() - 20); - } else if (e->key() == Qt::Key_Down) { - ui->l->move(ui->l->pos().x(), ui->l->pos().y() + 20); - } else if (e->key() == Qt::Key_Left) { - ui->l->move(ui->l->pos().x() - 20, ui->l->pos().y()); - } else if (e->key() == Qt::Key_Right) { - ui->l->move(ui->l->pos().x() + 20, ui->l->pos().y()); - } -} diff --git a/SoftwareDesign/Code/2-3-5/lab2-3-5_KeyEvent/mainwindow.h b/SoftwareDesign/Code/2-3-5/lab2-3-5_KeyEvent/mainwindow.h deleted file mode 100644 index 9de1fb3..0000000 --- a/SoftwareDesign/Code/2-3-5/lab2-3-5_KeyEvent/mainwindow.h +++ /dev/null @@ -1,24 +0,0 @@ -#ifndef MAINWINDOW_H -#define MAINWINDOW_H - -#include -#include -QT_BEGIN_NAMESPACE -namespace Ui { -class MainWindow; -} -QT_END_NAMESPACE - -class MainWindow : public QMainWindow { - Q_OBJECT - -public: - MainWindow(QWidget *parent = nullptr); - ~MainWindow(); - -private: - Ui::MainWindow *ui; - void keyPressEvent(QKeyEvent *e); - void keyReleaseEvent(QKeyEvent *e); -}; -#endif // MAINWINDOW_H diff --git a/SoftwareDesign/Code/2-3-5/lab2-3-5_KeyEvent/mainwindow.ui b/SoftwareDesign/Code/2-3-5/lab2-3-5_KeyEvent/mainwindow.ui deleted file mode 100644 index 3703833..0000000 --- a/SoftwareDesign/Code/2-3-5/lab2-3-5_KeyEvent/mainwindow.ui +++ /dev/null @@ -1,45 +0,0 @@ - - - MainWindow - - - - 0 - 0 - 800 - 600 - - - - MainWindow - - - - - - 240 - 200 - 77 - 25 - - - - TextLabel - - - - - - - 0 - 0 - 800 - 30 - - - - - - - - From 1faf39cfcec75c0bea6e02ac0e06dcf5882f8334 Mon Sep 17 00:00:00 2001 From: iridiumR Date: Tue, 19 Apr 2022 22:26:41 +0800 Subject: [PATCH 10/11] =?UTF-8?q?=E5=AE=9E=E9=AA=8C5=E5=B0=8F=E4=BF=AE?= =?UTF-8?q?=E6=94=B9?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit --- .gitignore | 4 +- Quartus/v5/jyh_4490_5.qsf | 6 ++- .../v5_testbench/jyh_4490_5_testbench.cr.mti | 7 ++++ Quartus/v5_testbench/jyh_4490_5_testbench.mpf | 4 +- Quartus/v5_testbench/transcript | 13 ------ Quartus/v5_testbench/work/_info | 40 +++++++++---------- 6 files changed, 37 insertions(+), 37 deletions(-) diff --git a/.gitignore b/.gitignore index 4df7484..6462a2a 100644 --- a/.gitignore +++ b/.gitignore @@ -101,7 +101,7 @@ Thumbs.db -# Quartus +# Quartus/ModelSim *_generation_script* *_inst.vhd *.bak @@ -114,3 +114,5 @@ Thumbs.db PLLJ_PLLSPE_INFO.txt *.qws *.qdb +*.wlf +*.qtl diff --git a/Quartus/v5/jyh_4490_5.qsf b/Quartus/v5/jyh_4490_5.qsf index ea16f00..660c95d 100644 --- a/Quartus/v5/jyh_4490_5.qsf +++ b/Quartus/v5/jyh_4490_5.qsf @@ -54,4 +54,8 @@ set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top set_global_assignment -name VERILOG_FILE jyh_4490_5_testbench.v -set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top +set_location_assignment PIN_90 -to clk +set_location_assignment PIN_46 -to clk_out +set_location_assignment PIN_24 -to en +set_location_assignment PIN_31 -to sel \ No newline at end of file diff --git a/Quartus/v5_testbench/jyh_4490_5_testbench.cr.mti b/Quartus/v5_testbench/jyh_4490_5_testbench.cr.mti index 36ee881..d769824 100644 --- a/Quartus/v5_testbench/jyh_4490_5_testbench.cr.mti +++ b/Quartus/v5_testbench/jyh_4490_5_testbench.cr.mti @@ -5,4 +5,11 @@ Model Technology ModelSim - Intel FPGA Edition vlog 2020.1 Compiler 2020.02 Feb Top level modules: jyh_4490_5_divider +} {} {}} /home/ir/Documents/codelib/Quartus/v5/jyh_4490_5_testbench.v {1 {vlog -work work -stats=none /home/ir/Documents/codelib/Quartus/v5/jyh_4490_5_testbench.v +Model Technology ModelSim - Intel FPGA Edition vlog 2020.1 Compiler 2020.02 Feb 28 2020 +-- Compiling module jyh_4490_5_testbench + +Top level modules: + jyh_4490_5_testbench + } {} {}} diff --git a/Quartus/v5_testbench/jyh_4490_5_testbench.mpf b/Quartus/v5_testbench/jyh_4490_5_testbench.mpf index 37794f1..c295172 100644 --- a/Quartus/v5_testbench/jyh_4490_5_testbench.mpf +++ b/Quartus/v5_testbench/jyh_4490_5_testbench.mpf @@ -413,9 +413,9 @@ Project_DefaultLib = work Project_SortMethod = unused Project_Files_Count = 2 Project_File_0 = /home/ir/Documents/codelib/Quartus/v5/jyh_4490_5_divider.v -Project_File_P_0 = cover_toggle 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 cover_branch 0 vlog_noload 0 folder {Top Level} last_compile 1650373713 cover_fsm 0 vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 cover_optlevel 3 vlog_hazard 0 vlog_showsource 0 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 0 dont_compile 0 cover_expr 0 cover_stmt 0 +Project_File_P_0 = cover_toggle 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 cover_branch 0 vlog_noload 0 folder {Top Level} last_compile 1650375953 cover_fsm 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 vlog_showsource 0 vlog_hazard 0 cover_optlevel 3 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 0 cover_expr 0 dont_compile 0 cover_stmt 0 Project_File_1 = /home/ir/Documents/codelib/Quartus/v5/jyh_4490_5_testbench.v -Project_File_P_1 = cover_toggle 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 folder {Top Level} last_compile 1650373396 cover_fsm 0 cover_branch 0 vlog_noload 0 vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 cover_optlevel 3 vlog_hazard 0 vlog_showsource 0 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 1 dont_compile 0 cover_expr 0 cover_stmt 0 +Project_File_P_1 = cover_toggle 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 folder {Top Level} last_compile 1650375953 cover_fsm 0 cover_branch 0 vlog_noload 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 vlog_showsource 0 vlog_hazard 0 cover_optlevel 3 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 1 cover_expr 0 dont_compile 0 cover_stmt 0 Project_Sim_Count = 1 Project_Sim_0 = Simulation 1 Project_Sim_P_0 = -L {} -Lf {} -sdf {} selected_du {} additional_dus work.jyh_4490_5_testbench folder {Top Level} ok 1 -t default timing default +plusarg {} -nofileshare 0 -sdfnowarn 0 -wlf {} OtherArgs {} -coverage 0 -sdfnoerror 0 -std_input {} -hazards 0 -noglitch 0 -absentisempty 0 +no_pulse_msg 0 -sc22 0 +pulse_r {} -assertfile {} -multisource_delay {} -vital2.2b 0 +notimingchecks 0 +pulse_e {} -std_output {} vopt_env 1 is_vopt_flow 0 Generics {} diff --git a/Quartus/v5_testbench/transcript b/Quartus/v5_testbench/transcript index 46fe1b6..e69de29 100644 --- a/Quartus/v5_testbench/transcript +++ b/Quartus/v5_testbench/transcript @@ -1,13 +0,0 @@ -# Compile of jyh_4490_5_divider.v was successful. -vsim work.jyh_4490_5_testbench -# vsim work.jyh_4490_5_testbench -# Start time: 21:31:49 on Apr 19,2022 -# Loading work.jyh_4490_5_testbench -# Loading work.jyh_4490_5_divider -add wave -position end sim:/jyh_4490_5_testbench/clk -add wave -position end sim:/jyh_4490_5_testbench/en -add wave -position end sim:/jyh_4490_5_testbench/sel -add wave -position end sim:/jyh_4490_5_testbench/clk_out -run -continue -run -all -run diff --git a/Quartus/v5_testbench/work/_info b/Quartus/v5_testbench/work/_info index c266c4c..62e140e 100644 --- a/Quartus/v5_testbench/work/_info +++ b/Quartus/v5_testbench/work/_info @@ -10,48 +10,48 @@ z2 cModel Technology d/home/ir vjyh_4490_5_divider -!s110 1650375093 +Z0 !s110 1650377050 !i10b 1 !s100 :51`ED_L_=jC79hTRKYiA0 -Z0 !s11b Dg1SIo80bB@j0V0VzS_@n1 +Z1 !s11b Dg1SIo80bB@j0V0VzS_@n1 I8YhV0A=[cdiMO2 R0 -Iz;e:HXZXKCS6H1ECfAE>Q3 +!i10b 1 +!s100 eN>1@LKgm`8Vbm<0Ud;k03 R1 +IJ9O`UFcWJC98ziY7lAEQ60 R2 -w1650373396 +R3 +R4 8/home/ir/Documents/codelib/Quartus/v5/jyh_4490_5_testbench.v F/home/ir/Documents/codelib/Quartus/v5/jyh_4490_5_testbench.v -!i122 9 +!i122 12 L0 2 23 -R3 +R5 r1 !s85 0 31 -!s108 1650373721.000000 +R6 !s107 /home/ir/Documents/codelib/Quartus/v5/jyh_4490_5_testbench.v| !s90 -reportprogress|300|-work|work|-stats=none|/home/ir/Documents/codelib/Quartus/v5/jyh_4490_5_testbench.v| !i113 1 -R4 -R5 +R7 +R8 From 19fb32a8262cb1c59d5a55656100d72e422de1c5 Mon Sep 17 00:00:00 2001 From: iridiumR Date: Tue, 26 Apr 2022 14:51:03 +0800 Subject: [PATCH 11/11] =?UTF-8?q?=E6=87=92=E5=BE=97=E5=88=86=E4=BA=86?= =?UTF-8?q?=EF=BC=8C=E5=8F=8D=E6=AD=A3=E5=B0=B1=E8=BF=99=E6=A0=B7?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit --- Matlab/lab1.m | 55 ++++++++++++++++++ .../Doc/软件设计综合实验_实验报告1.docx | Bin 95843 -> 0 bytes SoftwareDesign/{Code => Lab1}/1-1a/main.cpp | 0 SoftwareDesign/{Code => Lab1}/1-1b/main.cpp | 0 SoftwareDesign/{Code => Lab1}/1-1c/main.cpp | 0 SoftwareDesign/{Code => Lab1}/1-1d/main.cpp | 0 SoftwareDesign/{Code => Lab1}/1-2/main.cpp | 0 SoftwareDesign/{Code => Lab1}/1-3a/main.cpp | 0 SoftwareDesign/{Code => Lab1}/1-3b/main.cpp | 0 SoftwareDesign/{Code => Lab1}/inc/matrix.hpp | 0 SoftwareDesign/{Code => Lab1}/inc/vector.hpp | 0 SoftwareDesign/{Code => Lab2}/2-1/2-1.pro | 0 SoftwareDesign/{Code => Lab2}/2-1/main.cpp | 0 .../{Code => Lab2}/2-1/mainwindow.cpp | 0 .../{Code => Lab2}/2-1/mainwindow.h | 0 .../{Code => Lab2}/2-1/mainwindow.ui | 0 SoftwareDesign/{Code => Lab2}/2-2-1/2-2-1.pro | 0 SoftwareDesign/{Code => Lab2}/2-2-1/main.cpp | 0 .../{Code => Lab2}/2-2-1/mainwindow.cpp | 0 .../{Code => Lab2}/2-2-1/mainwindow.h | 0 .../{Code => Lab2}/2-2-1/mainwindow.ui | 0 SoftwareDesign/{Code => Lab2}/2-2-2/2-2-2.pro | 0 SoftwareDesign/{Code => Lab2}/2-2-2/main.cpp | 0 .../{Code => Lab2}/2-2-2/mainwindow.cpp | 0 .../{Code => Lab2}/2-2-2/mainwindow.h | 0 .../{Code => Lab2}/2-2-2/mainwindow.ui | 0 SoftwareDesign/{Code => Lab2}/2-2-3/2-2-3.pro | 0 SoftwareDesign/{Code => Lab2}/2-2-3/main.cpp | 0 .../{Code => Lab2}/2-2-3/mainwindow.cpp | 0 .../{Code => Lab2}/2-2-3/mainwindow.h | 0 .../{Code => Lab2}/2-2-3/mainwindow.ui | 0 SoftwareDesign/{Code => Lab2}/2-2-4/2-2-4.pro | 0 SoftwareDesign/{Code => Lab2}/2-2-4/main.cpp | 0 .../{Code => Lab2}/2-2-4/widget.cpp | 0 SoftwareDesign/{Code => Lab2}/2-2-4/widget.h | 0 SoftwareDesign/{Code => Lab2}/2-2-4/widget.ui | 0 SoftwareDesign/{Code => Lab2}/2-2-5/2-2-5.pro | 0 SoftwareDesign/{Code => Lab2}/2-2-5/main.cpp | 0 .../{Code => Lab2}/2-2-5/mainwindow.cpp | 0 .../{Code => Lab2}/2-2-5/mainwindow.h | 0 .../{Code => Lab2}/2-2-5/mainwindow.ui | 0 .../2-2-5_enhanced/2-2-5_enhanced.pro | 0 .../{Code => Lab2}/2-2-5_enhanced/cal.cpp | 0 .../{Code => Lab2}/2-2-5_enhanced/cal.h | 0 .../{Code => Lab2}/2-2-5_enhanced/main.cpp | 0 .../2-2-5_enhanced/mainwindow.cpp | 0 .../2-2-5_enhanced/mainwindow.h | 0 .../2-2-5_enhanced/mainwindow.ui | 0 SoftwareDesign/{Code => Lab2}/2-3-1/2-3-1.pro | 0 SoftwareDesign/{Code => Lab2}/2-3-1/main.cpp | 0 .../{Code => Lab2}/2-3-1/mainwindow.cpp | 0 .../{Code => Lab2}/2-3-1/mainwindow.h | 0 .../{Code => Lab2}/2-3-1/mainwindow.ui | 0 SoftwareDesign/{Code => Lab2}/2-3-2/2-3-2.pro | 0 SoftwareDesign/{Code => Lab2}/2-3-2/main.cpp | 0 .../{Code => Lab2}/2-3-2/mainwindow.cpp | 0 .../{Code => Lab2}/2-3-2/mainwindow.h | 0 .../{Code => Lab2}/2-3-2/mainwindow.ui | 0 SoftwareDesign/{Code => Lab2}/2-3-3/2-3-3.pro | 0 SoftwareDesign/{Code => Lab2}/2-3-3/main.cpp | 0 .../{Code => Lab2}/2-3-3/mainwindow.cpp | 0 .../{Code => Lab2}/2-3-3/mainwindow.h | 0 .../{Code => Lab2}/2-3-3/mainwindow.ui | 0 SoftwareDesign/{Code => Lab2}/2-3-4/2-3-4.pro | 0 SoftwareDesign/{Code => Lab2}/2-3-4/main.cpp | 0 .../{Code => Lab2}/2-3-4/mainwindow.cpp | 0 .../{Code => Lab2}/2-3-4/mainwindow.h | 0 .../{Code => Lab2}/2-3-4/mainwindow.ui | 0 .../Lab2-3_Calculator4490_v3.pro | 0 .../Lab2-3_Calculator4490_v3/cal.cpp | 0 .../Lab2-3_Calculator4490_v3/cal.h | 0 .../Lab2-3_Calculator4490_v3/main.cpp | 0 .../Lab2-3_Calculator4490_v3/mainwindow.cpp | 37 +----------- .../Lab2-3_Calculator4490_v3/mainwindow.h | 0 .../Lab2-3_Calculator4490_v3/mainwindow.ui | 0 .../lab2-3-5_KeyEvent/lab2-3-5_KeyEvent.pro | 0 .../{Code => Lab2}/lab2-3-5_KeyEvent/main.cpp | 0 .../lab2-3-5_KeyEvent/mainwindow.cpp | 0 .../lab2-3-5_KeyEvent/mainwindow.h | 0 .../lab2-3-5_KeyEvent/mainwindow.ui | 0 .../{Code => }/workspace.code-workspace | 0 81 files changed, 57 insertions(+), 35 deletions(-) create mode 100644 Matlab/lab1.m delete mode 100644 SoftwareDesign/Doc/软件设计综合实验_实验报告1.docx rename SoftwareDesign/{Code => Lab1}/1-1a/main.cpp (100%) rename SoftwareDesign/{Code => Lab1}/1-1b/main.cpp (100%) rename SoftwareDesign/{Code => Lab1}/1-1c/main.cpp (100%) rename SoftwareDesign/{Code => Lab1}/1-1d/main.cpp (100%) rename SoftwareDesign/{Code => Lab1}/1-2/main.cpp (100%) rename SoftwareDesign/{Code => Lab1}/1-3a/main.cpp (100%) rename SoftwareDesign/{Code => Lab1}/1-3b/main.cpp (100%) rename SoftwareDesign/{Code => Lab1}/inc/matrix.hpp (100%) rename SoftwareDesign/{Code => Lab1}/inc/vector.hpp (100%) rename SoftwareDesign/{Code => Lab2}/2-1/2-1.pro (100%) rename SoftwareDesign/{Code => Lab2}/2-1/main.cpp (100%) rename SoftwareDesign/{Code => Lab2}/2-1/mainwindow.cpp (100%) rename SoftwareDesign/{Code => Lab2}/2-1/mainwindow.h (100%) rename SoftwareDesign/{Code => Lab2}/2-1/mainwindow.ui (100%) rename SoftwareDesign/{Code => Lab2}/2-2-1/2-2-1.pro (100%) rename SoftwareDesign/{Code => Lab2}/2-2-1/main.cpp (100%) rename SoftwareDesign/{Code => Lab2}/2-2-1/mainwindow.cpp (100%) rename SoftwareDesign/{Code => Lab2}/2-2-1/mainwindow.h (100%) rename SoftwareDesign/{Code => Lab2}/2-2-1/mainwindow.ui (100%) rename SoftwareDesign/{Code => Lab2}/2-2-2/2-2-2.pro (100%) rename SoftwareDesign/{Code => Lab2}/2-2-2/main.cpp (100%) rename SoftwareDesign/{Code => Lab2}/2-2-2/mainwindow.cpp (100%) rename SoftwareDesign/{Code => Lab2}/2-2-2/mainwindow.h (100%) rename SoftwareDesign/{Code => Lab2}/2-2-2/mainwindow.ui (100%) rename SoftwareDesign/{Code => Lab2}/2-2-3/2-2-3.pro (100%) rename SoftwareDesign/{Code => Lab2}/2-2-3/main.cpp (100%) rename SoftwareDesign/{Code => Lab2}/2-2-3/mainwindow.cpp (100%) rename SoftwareDesign/{Code => Lab2}/2-2-3/mainwindow.h (100%) rename SoftwareDesign/{Code => Lab2}/2-2-3/mainwindow.ui (100%) rename SoftwareDesign/{Code => Lab2}/2-2-4/2-2-4.pro (100%) rename SoftwareDesign/{Code => Lab2}/2-2-4/main.cpp (100%) rename SoftwareDesign/{Code => Lab2}/2-2-4/widget.cpp (100%) rename SoftwareDesign/{Code => Lab2}/2-2-4/widget.h (100%) rename SoftwareDesign/{Code => Lab2}/2-2-4/widget.ui (100%) rename SoftwareDesign/{Code => Lab2}/2-2-5/2-2-5.pro (100%) rename SoftwareDesign/{Code => Lab2}/2-2-5/main.cpp (100%) rename SoftwareDesign/{Code => Lab2}/2-2-5/mainwindow.cpp (100%) rename SoftwareDesign/{Code => Lab2}/2-2-5/mainwindow.h (100%) rename SoftwareDesign/{Code => Lab2}/2-2-5/mainwindow.ui (100%) rename SoftwareDesign/{Code => Lab2}/2-2-5_enhanced/2-2-5_enhanced.pro (100%) rename SoftwareDesign/{Code => Lab2}/2-2-5_enhanced/cal.cpp (100%) rename SoftwareDesign/{Code => Lab2}/2-2-5_enhanced/cal.h (100%) rename SoftwareDesign/{Code => Lab2}/2-2-5_enhanced/main.cpp (100%) rename SoftwareDesign/{Code => Lab2}/2-2-5_enhanced/mainwindow.cpp (100%) rename SoftwareDesign/{Code => Lab2}/2-2-5_enhanced/mainwindow.h (100%) rename SoftwareDesign/{Code => Lab2}/2-2-5_enhanced/mainwindow.ui (100%) rename SoftwareDesign/{Code => Lab2}/2-3-1/2-3-1.pro (100%) rename SoftwareDesign/{Code => Lab2}/2-3-1/main.cpp (100%) rename SoftwareDesign/{Code => Lab2}/2-3-1/mainwindow.cpp (100%) rename SoftwareDesign/{Code => Lab2}/2-3-1/mainwindow.h (100%) rename SoftwareDesign/{Code => Lab2}/2-3-1/mainwindow.ui (100%) rename SoftwareDesign/{Code => Lab2}/2-3-2/2-3-2.pro (100%) rename SoftwareDesign/{Code => Lab2}/2-3-2/main.cpp (100%) rename SoftwareDesign/{Code => Lab2}/2-3-2/mainwindow.cpp (100%) rename SoftwareDesign/{Code => Lab2}/2-3-2/mainwindow.h (100%) rename SoftwareDesign/{Code => Lab2}/2-3-2/mainwindow.ui (100%) rename SoftwareDesign/{Code => Lab2}/2-3-3/2-3-3.pro (100%) rename SoftwareDesign/{Code => Lab2}/2-3-3/main.cpp (100%) rename SoftwareDesign/{Code => Lab2}/2-3-3/mainwindow.cpp (100%) rename SoftwareDesign/{Code => Lab2}/2-3-3/mainwindow.h (100%) rename SoftwareDesign/{Code => Lab2}/2-3-3/mainwindow.ui (100%) rename SoftwareDesign/{Code => Lab2}/2-3-4/2-3-4.pro (100%) rename SoftwareDesign/{Code => Lab2}/2-3-4/main.cpp (100%) rename SoftwareDesign/{Code => Lab2}/2-3-4/mainwindow.cpp (100%) rename SoftwareDesign/{Code => Lab2}/2-3-4/mainwindow.h (100%) rename SoftwareDesign/{Code => Lab2}/2-3-4/mainwindow.ui (100%) rename SoftwareDesign/{Code => Lab2}/Lab2-3_Calculator4490_v3/Lab2-3_Calculator4490_v3.pro (100%) rename SoftwareDesign/{Code => Lab2}/Lab2-3_Calculator4490_v3/cal.cpp (100%) rename SoftwareDesign/{Code => Lab2}/Lab2-3_Calculator4490_v3/cal.h (100%) rename SoftwareDesign/{Code => Lab2}/Lab2-3_Calculator4490_v3/main.cpp (100%) rename SoftwareDesign/{Code => Lab2}/Lab2-3_Calculator4490_v3/mainwindow.cpp (79%) rename SoftwareDesign/{Code => Lab2}/Lab2-3_Calculator4490_v3/mainwindow.h (100%) rename SoftwareDesign/{Code => Lab2}/Lab2-3_Calculator4490_v3/mainwindow.ui (100%) rename SoftwareDesign/{Code => Lab2}/lab2-3-5_KeyEvent/lab2-3-5_KeyEvent.pro (100%) rename SoftwareDesign/{Code => Lab2}/lab2-3-5_KeyEvent/main.cpp (100%) rename SoftwareDesign/{Code => Lab2}/lab2-3-5_KeyEvent/mainwindow.cpp (100%) rename SoftwareDesign/{Code => Lab2}/lab2-3-5_KeyEvent/mainwindow.h (100%) rename SoftwareDesign/{Code => Lab2}/lab2-3-5_KeyEvent/mainwindow.ui (100%) rename SoftwareDesign/{Code => }/workspace.code-workspace (100%) diff --git a/Matlab/lab1.m b/Matlab/lab1.m new file mode 100644 index 0000000..9579464 --- /dev/null +++ b/Matlab/lab1.m @@ -0,0 +1,55 @@ +figure(1); +syms x; +t=-5:0.0001:5; +fx=heaviside(x+2)-heaviside(x-2); +ezplot(fx,[-5,5]); + +figure(2); +fy=heaviside(x+0.2)-heaviside(x-0.2); +ezplot(fy,[-5,5]); + +figure(3); +fz=heaviside(x+0.1)-heaviside(x-0.1); +ezplot(fz,[-5,5]); + +figure(4); +subplot(2,1,1); +fa=5*cos(12*pi*t)+6*cos(18*pi*t); +plot(t,fa); + +subplot(2,1,2); +xcorr1=autocorr(fa,t); +plot(corr1); + +figure(5); +fb=(cos(2*pi*t)).*(cos(2*pi*t)); +plot(t,fb); + +figure(6); +t = 0:0.1:10; +b1=[1,5,6]; +a1=[1,5]; +sys=tf(a1,b1); +y1=step(sys,t); +a2=[1,5]; +b2=[1,2,5]; +sys=tf(a2,b2); +y2=step(sys,t); +a3=[1.5]; +b3=[1,2,1]; +sys=tf(a3,b3); +y3=step(sys,t); +plot(t,y1,t,y2,'--',t,y3,'+'); + +figure(7); +sys=tf([1,2],[1,3,2]); +y=impulse(sys,t); +plot(t,y); + +f=cos(t); +sys=tf([-1,2],[1,3,2]); +y=lsim(sys,f,t); +plot(t,f); + + + diff --git a/SoftwareDesign/Doc/软件设计综合实验_实验报告1.docx b/SoftwareDesign/Doc/软件设计综合实验_实验报告1.docx deleted file mode 100644 index 5919ebcdaa266c68ef241bdcfea4548409b98930..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 95843 zcmeFYRa7NSm#&Mu7ErjmYvJzh?(XhR;qDF#cXuefaCdiicZbdQpYC)1v%7Ehb?+D> zV`OB`Tq`ri`^*^`F;iX&6buyz0tgBS2#64|t->q(kR!V@;3`211bw1oCbFf6M>i9_UXQv+ZR-5_w8~MT~DjW2`MLkNBG(Yob+l z4;sY-TyZ1x2y}48MTn#V1w|{oAsk_L**WA|IHG@V5@8HXXlcg0DAi5_Or16Bno$>f z<8%~5iX5zxZ~v32Ibc|{@2sN7{eY0Hu$j?_S=evNBZ--TsCY84Nb)iOS-i$zv@PNk z{@!|6ZP1996)N92wt(Z&G^h0*tL2#}RBbtFNY$Oh5m4UJBqcvPWA|6=OA2wue@Xv3d?tCK)`^~hTqlvW>J>5U`|7H1q zFu?!z=#>dFQlN}TAy)xkgyWrDYg9zD8@j^BGR7akLQHS|nTQEx&0m`o5p?rSp?J(5 zMj9F2lhenzYWCY;nXk8oAN|A-Z+abEP4MLyG=4uZ*cEiclh=pm=PWS+@62jpiD| zaY&Z<9-BwRjO2~nM-j#0_?c|@@EJ9?fQbhVn|r$Omaobq=DVt(#8{2){}z{r29J?U z93Y_CZD1he?>=&~b2O$mwli|E`HqAC1i*8ix7fA8^lp6nFVH+tnD%BhZzaXH7*YjG zxWeD@_Zj#RLVuG>(TpVLF(=>m7akI1tICUuFb@Wx8u-O1ngGnVnjRjE zw^w{q*^wd?W2&PbB5b3lhWingBDy?ZHD9-hO~RYQRY~*pGQdbC)OD&Ow4xEsIugPK zs@0__s8)G}2hx2^Fpq+h6e7`r0%K(}zxu|-G&1tC?9k?h%TmBsrKk^71|t4!JD#~u z-xk+HPzn9^W>Hg?*3z--(+`0l65g9p=Ob8zB$yUTIe{F2hnI{Jtb7H!=>h_-d?kSX zB=B&Sy@w3H2qk$5?0*o7cnO>*mo4%IW}~viT&KY>m8k2HbK=lc93P`jb^@{&scRyY zSvsc%BBN#Pq0oG(M~vdH`Wf^i6(1`Al@`-O<>*$1V$jfdfQEei^+@x9@Dy5k>%)AJ z!QnNwe7M@d-V^Va3hs0-g_cOw?Cf=dp82+E9klU7scdD+{h^Z;8ibM#L^?TUd_6o8 zq)+N6DXxJpi*U%`HWb)QxqqObTc(V(BtOg={2P%Bqywfj=q%XZM_?o;s^T8I-mq4N z{MP0WL3XTSOO_piYJPUj%v!i&+%u74(BH`|cLRC3wK8p@208<(5fKYNoS_tO5h(M( zFD1(YMUxNoBd(R^)XN(4EP8TvtTUT_Vd%pFkExW(lC}g8-kBYylG4$o!YgUa z0*mtVP!Q}S^#Qws2Y~PaYEIjf5IkfAuu(V=i3&)G{lI-y|MKuEC`ca=AxXx_MGz%Q ziUAb2)vVR2TK#fafb(nLu_KW)CW}`vP^{2&m<$Msnd_9xRVXUJO+;FDvZSMmJSHvL z97lriB|o#!#(age=vL9kfY$oU^8?9=72M~_DLAGzu}UqgH;}-`A5%oOQHW}RG4fbo7I#&W0>syL@Zv@z z$~4Pc%S9*I9^DWhyR6PT%+I+iuXt)~?Udc{aCo}}ddgWrrbPnwNN9|eIbz$i+CNKQ zcOC?M5L_S;vvn^{(NV3ACKE1w|H#pu*a1^m$+Fk}OeQd5P4Yh6M@#?wXE!5XUXImT3=q=5n~c(g$IUf;RJ*~2aG!_MT-}2q!Jh!+*HH%|gzBO*vQqu-wbpbxxu`%k_DeNJ z+!Y3A12t0Djq|d2)wOddKTv;y!dO}ztv;A&kC(F(|EPAg+%phJ7lMYR8q=AZ+nGUkgF{@}r zmwG|M(3*|Ix*aaY2B$8}i+n`+L8GPPjkM>_H5UvASs%ka`*WX+5ETP71F$NfW@8Hj zdgDlv0)tRFf(e-a1PSB&MMlb6{A^o{I&=lO!Q_Cb%k|qEbxgV@%A{taFK=^izm@)6&vxXr!`+vkuT1a(XCT z4ys9s)B2C`&BTvR5^Keq37QPjO;(ENXn7hNKYdox=5#Z=aGfaV2)7z*jx7_(Bn{#W z-3_B*kKE->&@0{EhXF=O!T$bDS9J4yFK0U&c4vOx-EB7|r+UrhY`#}{T|R+k8oUFA z%+s@PYRqas*SS(V1`0Y91@%~b&}4g@sqp@|)fGiWt<|Xp>o(y~u=1q*SgyBg!D??o ze8j`$-r!t*bNZOm`?a}NW9z58_Aa%z6Ya3rqc)7%i zr*_r(JhY%^G#xuZX5o+0fN_6TJUe}VCl#!lIB)0A8C)t;G{q~Re40GBV~`DxkpJk1 zX!XQ~`1pwMXve1O4TkX(=MV(Ki-VVv930au&R+4{<{{TwJ7A7WCd2MY<5lL1K5GL)P=(wC!(37D9DiQpl%}%05wfnZ7U3v|86JKeub^bH`Ov+Nl*~u z^`EJ+(*-qlDy$VU{1syA&!4~eS)~-EqoElHCUxyxY1pmk%$cKa2ut+bRIYIeavpT2 zqK4}*FF9Hdv!teI3c?DeEEX`angPG#vK!urPO&Ipvo1q>tgs-2H=izWs`9x$ai_#bi2NVtIe~SC+XJl zK%NTZgDDnfK|Ua6<8XhR=1lQ`t_v{K$nzDepTafq0y$q?(uK)atbKW1TcZ@B_x&E+ zt{on;$c}uI|6Gw~Er04}clT`SdV=4!_PO%;8*_T8YjY>^FpzaRnCQy8Rs-CXq4k%b zuAkw?nlpM&lpOEc$hlzz;c9Y*Y2wD2HGHO3g7l5J5$R)uSN`(#qv|v1o4&Qxz>C$( zs=$(1F++vlt8CdFYG2Q=6jk^<5Q6XoORUWm_?sfG6-z(e@5FMM8~aDunT_(MuU=F2 zh!nw=bOsO*KXQu?ECRSoZ?x?>?kV+!W^i)eo4`S*X+Yjc23jQI6$;r2}wB{-ItyO!| zZ@9VLJMDyAsF=#*=I(|UMtd$jov4{n)I_?&=YGIh!7*049UT23PU%~`e9oCEPVrba zSMvK>zPQdcB|wkA-ox|v90)C&Yqywg!)s>r>EXny86QrE{fEiJo!-(VJ|h@6P=OjG z3>PMa5LeNd_CvejD;hM-IElhd8B*Zl0{y7DN!F3Sy0K6k8X4y|yAM2jCYd@PVV? z-kY4A;3r<+dbk&IA#PaJ13h2O=BqF3^y;(F#bilSjos3fkJ8(Ad}dC;z?Gl(7W}pC z22Y18wYwI(sm_mnYkZC(DlY z>Z|o0B=wAx7v+c{%sPySuX>UJ();4KTH7q_Jguz{yk+Vn2O>TgKM=&%TReu!Qn16^ zNjT;VE!XkEz0)mQf$ptwVVx^KH}u0Yy^q#Ud&nsc35yiu1g01cd+0-fjY}@jQm)0G zh~gv!6FQv?j`@tJ8-LD!S}N_C`3nX6Buo)r^6abRsuGS(uje@8oOMVt zbDead*JYJau}pu4g_G&hdMm4{_I7B7RU~+(m64%_?vGveIeB1G11eRUvzeWbis&i7 zV2BZcN~m$IhgWg}+jD$czDFH(FchF5`$xMyWj8%}8x_$8!U@98JctDaLt)Aqd6*_~ z=o{4Y}jvaoyKS9QE6!DsAt=3+MpCLASW=EeO}&X zB(x7jOvL`HWm`rT1pb6BG<{>3lp!d6f83qlYO{M06wbT$!VJaav|B+w`_-iaH`78c zmvJhV>&rNFRHW6n((Bxc5&|lEUF_MY|N0HfKftm8f-g|b-(18=?w!3(7}g8=xhx&g z5kSXjghI6xtADxqp3sbHY|w)^)6#AO_?!!zVABo_k0w8K0Y!o`i!SC_wo?Qp3kSU!8pyrf=tjeedDYS?E)y7p#1Im_{T3flY{$7-$R1)_vz2 z?!aDVad8iB4&|Id-2Qyyay#~=xc0KKeEu{8Q{m|t8g9=?7;^1ehzJuH~iz*t|LZ@Qm{AxyO}KY-Ew% zAuq7Yl|bTAzU=2_a9Jhn%sDa0Of)I0EgT;9<3&EdRTV#Fha()#0pSWmQi<%byu+=9 zo$lum1|RjXS)mrYBWuKlbOQ!=X4iP#M2ye!6U^L<1T6P&b&Lfv80JpyjTqR%?ax;(?@o# zH|5?5Z$bkLqpdL+D}J7vMc7!L_18^h)2CNi#O8L9&2*m3&M-qMne3Xo>Zj*aNsvW& z%Y?uRxm~i zzvaKLpC;iLfpLJsFeUtLFoz?|%>73IAtW8GiD0N8F881xlGa}s>FO_f%%r0>jmYL( zIxcNcl;cc#VQuuLOKhhzwK!-Tr1={#OPdR{?oP2#-=62b;#dJ?O z07C+Gd%V12F-kO2$)#*nUiL8Z@LDqBpuEpcW!U7+vW-m*!q+2Dle9dK9-J zoToXbO!bpylp-qRyeQ@9m%LKA##BD!AZv*tgc5yEL@DGF+eE^wc8fk-Hf zXd=)qIOsCRC5*7Z7Eu2{jY)GMp@8#{4Q_x(ML*hwOC%Bc@h_1vbC`7oRTJ~|&efNA z2DuS9IlQ0r-MuCVP9A$T1)Vc661u?NOCqh?1)OsTBt$Eu@d1L<185}Sq!N*jS7!1# zB2xq_c-c|d1BS_p;Kq<2I8IKPT@^qDg5wT^i7Xq)EjQtelq?ivZ!~&qQS7h-gmarU z%a0?fWanZh%|)-jzNh=XzRq=Q?A8|1KB++s@hf3S`1`<7geUw_ zAQ8}Aafkr1P-yG{9YuhI&va^gqWYSf$Xe@WVmy)|~%dP$7NqpjqLNRk{7 zV}rQ7xL)#1IbF7fKH;@BBg-etH0e2kR7hG>qx^AD;3`%0;#zC}`UGgUzmt7ltX9X4 zvB9-VO6-uY-e}Fa4IVfvqpr^eI3SP3)i=p3=sk__I==v->Ec09(Gmq64ftv~mll1z zku|L&q_c{8d1f?x=Uk9li;)|vC?(`*y`!xtUID!=H@E@ZMMu3;-m_}92-d%@qnb5} zxVPY>{rU>&)KavwRPU?6F)I!?v;~qQkUJ?wx9wujZ>cijYCJ>iv`@CJ!rR7=WrAU( z;yqB}XOAbJH}_6um0e9?qhMVctl(_!PqQD&4sVG#*s5aM!?D3$s&Q4yw=kE=Y%)Xe zS$|k0QJKKSOZmFeVqe+7?9*uz}5cAK>bX&w; z>1S^IsT40HiijAXq_nEW8g8*oN#z{7lK$)4x#&x);eL$bzhPq3a@20yvXdBDK#Ley zbA%muHhewQsqK*zv{F+pQNCo)Iaam~Em^t19u9lPAf}=-bq~M)YL}FvaCDahsELdq zo22x>-U1h(2kjiUJSF4G2QWkEdVtbY&1NjZ^8e0%M73hxqpTEdh)}gtM3SR~!3xhe zbVz}2QSR`g2c9qFoSMTK0-c}aoUdn_=i98={+e}tP65^R5m26i6hSSJDhnWDs))&l z=H#j&lHVGAu&|>-{b0KK<4tAcY$SPW2rjLZt}%D|;B;}X*kg&MNFMCD6mt{O>RCYO z&!&yDCW8vT$>83%4Ub=Lh=L0I&pt{7kR3+H&iO>;fM>cBIUEucRAWHF2ur6@f>PD%fByw&oFup6;{j5s&4?~$J%kzN8LftF zlSzo3ozqzFr4Zv~+@7ed0COV1ZT4HolgbKosI89YJT==?xFcMKfdd5}0FqqN|g@igTXdT_PjC&<4i6%w3W1b@Q zYlt(c*g&YUu!wx`C^_*cS5P~}IHA*a@=w>fsp1IKeHt4A@?=rpx>eO&ZX~L!bJ^cW z?BwYnR7;p}Wrv@0MK*V^=m{iC2QE5?F3_g&KZjzFMFw_hP^_uhb*Cop4=)O8GW3!M zYde8q_h9nFbL1p+OC?mz+(z^u(qXWql~U1WzXH{Obib!)x6yv9**6z#t<)8F-1*G9 zRaTZl!%_dWZ)b-Kig6))kZO7yXPcRJ`0SY!0E3WlxueGNI<>M#v zh*@HosY%%g3_O9tjyQ{!0S-OYFO85Erho-4jfzydNVzRH0}ge8HUcU&jGKDar$ngp zh_)`xnJU|*dl^U-kh2sI#_&1K(Uwz%lMe^~RCWdPS=4)JOsk)s#mw8`k-v(IuYX|W`Lkv-U{!pw5`GlX(e5Oi zHgj>C42~x-H}IdyDiuKzzwkH?-_#kdj>L$Y;8~MsBvRRT49{stgV>c(&~UdMQ)9t&YcY z)-e2vJ~%^-nrBwUi<&neq>idgId{ss39h86Ft48!a0ID6gsnd;B2}vozk?Um7e+XC zT0CiRFP)KzHae2vu-8=XjDYljK?IXzM%!w^@x}*9(bUImm}hEt>=-uSNa9$u%W8I< zv`4a0e+=O%BI&pqCG>k%f9*s0!8Qg4Li}*K@#20p$<{8HbHV%Jd4E0ExwWzN^|{&O z=gYVHvUj}JjeFj~zU;wZLsr1NvNF&vhkx}|>vO%od5jqAs%QOmrRO#>c-Q^;bh8mi zjvs|*bH(#!eLo=fw&nB7v$nzSZAY$!Z~g6P3o-lM=>A>KD~CIi8$HtDdi(PD<><}t zg_+}Ea<7wKZ+j1gAORh^tu-ZdeD?FPSZa)*W1KVoSn z#U@6;+S$mwMK>eoPrqkTzr#}a7kdfq#BJAevnqCQl@=nj1g&P$|a++X46>T()Fl~k)IU0$1W%a{E|2|e@)lzDS-%55+ zzrv+M)2tuMdZAfxH&F5^=`#4|M#?$;N!4TLGy(WrD2}u$xr_rj8#4S30v4=j0uoFw zAIg%Hhyy4UltK}a+-e2jx?I`2jzkf9sN~{wH#<}NBxc9XG)K)heW41M7UBRiE;`1qOXCc40+~?ACrj%LxR!$Cc~jo+J6WaiWK;SD%pIE# zTFt0@DiVpQZ{4m+=9waghcFL>v5<>lq!d^J*WX9=;G$9j1xK^q4TVeX3j!r7K`}uD!VtBw(T1RnwhoeO??lSl z3JlvdS_tTzKE2bS`JK!&2MGj(0|W*9ua(UIXZ8Qz*E9b!69D)-tLNML ze|G6eo{;ThKnl7N?-1JOa!5$IEhz3JDAdj4{+($(8|IF?qLphGYq2n2G_b3Ga2(!o zXEEqnd`G_`ik~Y}z+h$psD-MPHwko^+)SBbd!k_?sYR_49A5bempN5kQ%pY%Q=d8B zb|P(Dk~^}JQR=n7j%pRx+g}das7F;)M9@C}>q_{B&T?BLLHduYS?$WmxVgfiG`-|m z_qywK&PsWC21vGYUHot7o&dI4&ozI+C4P=Xc~(PuQ-{!Bd#RYS3gTgsgtP;2;M=?b)O;%UYsntT7|_+hyJ>nf0IqKHzP%?6sDwd>$>tz1D}( z%(dR)b5p&M66275ldjHZp&~@Eh2{!q^Fo#(ZnHLi)gXC}WC}8kC*!ilQxeI^7C=7X5muP?Flm5%c~qd(eNac&HqY4z<9LZ_2kRfCxga&N}Wa# zASICc8ISel`|vQP6K`GED5z=4tq+xm8bR|hu9-|=EH28y$_7BDjb2~ICgMoQgeOKt zQ!Jr?>CNp>()?iwC;c2YfQQ}P8{fyES?&NliQpb79@114nu4qgpPpxD{yp3y=CAFg zI4>wxD=^$we&BB@;Lj}=%ZMh<($MCPL4A<8b11aPX~YmaEkd~>|D&w@cPx4yN6UR) ztG~{7s|@-#TJw4I!8o2jX8$h;<{Mr?WcOcSyfQUp_Pi+&^bJ_`-Hl;9Q)gE&Xb3c^eoZA0 zlu5MqVeeF*++jrS3;jP(gV|`HPfYauSS5%TpW2hawmUrPY$!2ilb;&Ikw_`d` zh?_pN3E$@(OEj_Ga4uSHtb(}=w0p{7%C+_noeXuEDs$Hy61eSx=bvdIMdb1DjQ^-z z{>CO$STE`*3Z1=tTy^3Z)N_du)s0^+013*2v9d0D?Aia}{38XQ%Dzixz1@sqGN(5b z9}$+_k%RjEW_ecg13(R!o7I!zgX+C7yVC9~L zFYL3y6Qq0M4mr+TF)SB}Klj8toqv3Qsbxr{ty2((1$LW8f-_!m@c`G7#dO~Uv=cM* z74{&}ZHZBU)T9s;uPg*<(I^i_SS-rV!(2p3TYX8KT@{s(f4$DSrxCvKwQ^Iop-8t` z9xc~`80HmDwAxZ@M-}xLZ}LCp*cj4B9JVTofsP&MU7Vbtjv}xN`{Md30FwMQMsIsa47$9cZ~wqr|`A5X(`niAf^5m0Ql`S3Wc#yM}UI zo=i}a%D5~E)QiIjWii3Xa&i(&J!J#0XdayC^`jCFXmok`3PxeBaF4259;VuR)ifWS zf_oV}#S`}He(}`7ub^F856(s7uNK|BBB-%qxcpkAqK7V)i=s7V9I7`(*3t++hBo!kpA7-nm984<7zun)@1koL$hIy zh_bW9$h3mss_S{dK0tfy;UeB~7pxa+SL`J}>vLJe$3##Dv!}YvxKZ@B&OLT6N?D~N zlJRvRy2QZap;1;wzwU1B>+X4s>cs5{!F66BE#EUArtp_c0Gb#6EesB2!=qDGDvW|5 z`$WaUwV1RjbTN#-%sEdvJ-u)BNWKNvLg9iS%>*hNT& zR%burk+Z+uEOp~~U_LUzvH|L&LSlo0(?4!@PJuvvfp}st{;;%n!>QZA}6;bVy(UoXFQJy-)eL&Nmd-Gtecz)Er9FddiSMW&?bjw^%?94 z+iO_s_C&a9lz09*zEtvTEaY*6gbv&7PDN_IEcG*B#36?sbBBn#cbirO^-*%uaZ|C7 z_rOE4#{EQk0CEz9WPMMmv&IO+p`LeG{yS^V;i8HYiVKR3aBhS@005FUOnj%2oF7Ev z(6e@kk#U>GjnDq}vpWdyB%)Mrx`gkujukO1k36e;bydliwhj;#l%;b-Y+ERI03+~? zn8asB6ve$I5L5vVcl+K>D$q-Gga|L?8fU!~oob#XqxWCh5va?>b-h@8y#@ejGlf5Y zU_M4+wouM0BM%WP8MQkSwy61_@K|S$yN5<5$JWIH zel4WUk;5M<8ks9#B#{0PTi<|5kTTzq^=IC%0`5{zKZ7vo=%!VNP~)Gd@5pfaeWnk; z_)z}(QI5hEmZ30jQQFoMi_HV5D=M8qwfnbs>eVuZ^zRau zU&>dd-M(!fE>|A5zHB#rR5{}LNO`hxYa)E%a}(#E28^+vQa{qg0WDG>I5{5EVHMt% z9;Iy_HDBKx{8vKsHq>HHzR!66S3;QnA*Ar%3GvngG~V+IN62%8Wuj?r14r$#YU~DD zw^%%#xB-j^VI_s=6P%g8z4|iq&A~#p*=CGIq9k(O_P7`xit=FDvheZ2i)|x+cAaoP zNn(J=@n5($&Chp-^iZn`p0J-}<7m zWyJSg2@8pIMuz!_FkHsc-WeZ0%}NP`9t8Io3&BQ{w-jUmT>36-$8o?QC_h7=!?cKu ztms6o(gJW6B8Y!Ef(2*Pea^^4Nc^DAtT%?mEGcbBJm*@t8`~dc>Az!5^8oed3br(q zk7gBOAZo+8B^Id*;Iz-m!8qj(czG}dcz?OwL};gRlks{9Z6)KNX3Zd#_tJ2;**>PO zwgu$2srRusY>5^CwAMI|Rf+&zA%jGD2F#I=#WhpeHzH(pdm0z16}e`u-iz6`qsq;H zd2cJ;?<$o)e=%NrRfY+%Ap4MX;5VrD8lX3WB1%puI0k0J7eYjF{l+xm!S1EO zuzk&eYE$FAS7T^%)xo8;14so`>E6E(NkaNYWbWF4xR9&{lOo{uT0hil0Ok+1HL4pm z-bz<(d()$Ktbi0dDrId}XoUGKP^JTG=k-wwFCY(q)h+_z|)xl+Ie{-J>0Lhd!pFZYL7BM3@hS>tPZRWMJFNk`KKGykfv76K}Ttn={XC6|D-#v1gZ0LBV%rmzW{iL-adfHrt)CLW;GFij!o zU&6vHeTAXv8N2kBV#z~L-ni-|qy?NF$ z7LPvO8PEKXG$_1Y?g&j+ZSSc2`ER+f-$R>!6+$5T^I-+ZUqC?rY-s-(IBZOeEez-_ zYz)jy80qY7&BEnn#o%GE{<#WXLR?r82namRBF3RWF*?4uJhq(7X~gP!)pSmseN||>OzKv+xz$|S z)hwKKcYS)Q%gIey$wCnZAqoCR)gNbEGN|`&2ZE4cf-v$`} z#|D`5L^pwmyvLKAXEZH_0}+GSpK^K&>9-Vaia~CETnrvetcUe@5h2VAI(U&5+)v9&)(=wkE`8tD zwpbs-lq)ZIZ^GrCqrX*|#Xfn&sdKus%X%Mp=2_3~b8~g8!DJ82?J8v^T6(+mozLQSs9a+? zy72XSJ#T3>dRp1Q7rPgJ@3_rV`D{4GHlEtZOXhUDSiAk_PuLVR!a zavm#4NBmU+aExewyKJ|@ZcxxuZ#0i@-;JPNFI6abKk|p7uf7nly4@eK-R%$eje0wg z^V6hnz$TC-R3ualpA5iwud?$Q!}UDQyR_1K%h>9A9-wanMPSd37raNmZ%4{!Ga8J# zd79I*Z5^Q1X=~Jj9x#D>aL|DnA!5X}(=Bc`@qk)gOUY*u39=*YtwOs3k9xYFlXFAl zzUDu1!(>lcNR5F$L+w(Kw8%+fDRZ%H$r6(O+Xn>6>9Q(^hBS}7cw+Qgi=te2M5J{= zrgCb{x)DP=bi{+vk)0tpdY!e=KSPY=#Rd8@mEN55HuWo0vh!^mrFJ+FDSn>YW<{`O zCiZ>zsWYZ-z@w;8qKbKIr`_X4xu?H2(zIjb3wJmz7q^*FtAvLe`TMW@p1O=UO_x;8 zTZdKKC6YNaWm=5JrfqpCT`mt@Dq*;huJ!G|8s9eNk=OL1hThMMa$dU8quT#|*+5<@ zz}+8BX0`XJ_jE{XzU*^t+^uE1IW0|2JOt%c^JUx;vw1F?KMfpp9d|0j4HCN(>v|j{ zC!2m6_x$k>?Vgk6vf+>H8;rtaUT# zwNyj@KAga|Thexl_If!;#V$MBs~f_*^V@ovbbIXFxHd(_f0oEr^AMlod`{7uMSs9BFjkE-P|=1>>xWHcgp@iid-dL1kKRhuemItv{ZD^fw%Pps4Y`U2)0#x*+FUZ)3z;YREA=&bEI zJWAfQtuH6=m-|a|lgn232zWtIB4JTq_14ktXFOsooi^xMjzMpSXKzt^gI7Djf?P3X zmm_>0e^McBB{S+diOuh_zJ3`QGUsf4#2V?=j4{)~`hUorTc%ERxHvZ0nA^FJeRX!z z-qi8Qn_`zWugWN%y+3tp>`Z1g>lxX(oQ^^&)?ka4)EK$+<@$`$DPiSk2EI*IwQQaa z+13$^pbF#R9m=I$wfMMh4tXV`^6b}sMe$78%h~vh@^l>R3~pZFxXdeD0-PpqbR()_ zVEwPE^>Xm∈@*quE&YqO-H{x?^uZ_COfj1*Qd;+g=VcH*SXTx0c@^ye^tj-_FhW z*6t6RE}U}S0N7lvm0bIALhIG-u7{ezGLr5;RQMYYNYQnVOiQ#Yx<4-L*ap^Gx*n>y zmK_1b=Wf81CeXm#PEU~W?8$kY)lx-O_9!e+`Q*`o3hSUX?|HdvaK9cGd|m_}3=BGKmLD{ACffx7JR(+~ z_Ff5m*Se<9GPrvm3us2i$H>X1d=+mgt%N?!a&V`tBH6QSQt)m1-Ew>$S9A{s3{BH{ zN>(i+Z2B$S83jHB6<2F3C!to~FWv2}%#eJ)Bf(<^%oxX=Y2u@LyQ@u&&oUG1B3=K_ z&l=M9UoDXMysZw#T=Wm`i?pqFl6TMbLwx6-???ns|!MpN!R$3x_}i*P+a^B=H7 z##7IlT-^%ki#?J0`XPvFio5pT2P??gv6XiJEd4>Oe zbvdcDx%=qe8LLV9E9VcrW*Kv#_K;$omu1D0L-B2J-EkNix~GkE0I5{UbN7w2@Mx#m z9gpqcFy>{_M$6POR`-~D%hIIR%a>O0iUCxIs;!7_kB zyo7{OP_%|U*0N2@#yjsI-Hkdm+F%vyrvdB*qltDZ=VL|WvJvY&4vU?DaBeggz~wh)D?bHZLQ0=_K}lY2qaaq)Rdjj2Q;VTJPNk3wVm~Rd>_&eD<2zA> zH{}>cjXMweNu2@Q8je zms9t+_=hgXHs);gM>w+4U@ZRDb7Pilr^xUR@9h!toO=+{4!)pB%w3I5#31h9&t>jB z)tXJ^>F7rR=24+HLC`4gUCQ;V5;^{bT+1&g;29lJ~*(D%}}O|geNxm zeDcCl8lL4>LJNE#Qg*=;mVi&+x4i>9Sl zrs1PmDf$N+(-(VP2VTaykHUQ+tYU<507pZ52wMptG>aaDf=wgl#+4lQmRRqW9QP<_ zSS~XG-{Y^G->cG7U98V|szh6j-^W?{9L%jX@q+4@HR$kL04&{ca)`jP?_1}NjcO5n z#CduqcJn+fMPzg5%^)_j-E-M>+-qnF?->H;_T6QT?D5UopSmjxI?18FD^SF&{YO0J zb`S5tdp|d>3*~M$n1G3!7P<$et_hSMHN%zaIo-NXV)Xh%Q9Pf9k3t(>CFd8Hnhg$+ zgu?`g1V1V9f+{?lS?&bEgjfdq++VX7CKrke(c@E#D<>=1>>TJnEW{XR`Rzs4;n$F+ zjDmP$G~D4?`}J1i?wb+gfA&OP@w|Q-t$(&hA0K3m4LWd>kDWRmpIIQ`Ah+DOH(wF= zBExcUi>+pIf>>OGWV3h{zFqGQeK6j74B1HeWNPpN)BtjDM0hp^t_cZ<7N%P_wO(t_ zJed~0F0aylcw{L=WEp19Z^f5;PXlrIFBwN{C&(`rO1I36Pn$~@_K#WJPD>M<{Uz26AE1f4oc%UOig`NTWCUctl3-8$6i}qC|<+6X@bPoZ)TVx*N$cJ|a2(y#`%J zCXO{f_W>OQj)F8C3bpO^v4259Z@t-@ynp)Z_CA1OdtVLNzSB3(heg>A z%AA4Gz+j8b3{c%Nr!!2p5_U;f0D+E!u{rKRYm{46|*ch|uGsW3? z;9Xb`=0lb%!NCUr7ZIjmoCnM<&sbk+kz;?+)sR|L_xKseFqfk&w`@5n zd@T3iTd$$)Qa24=Q5~Q71jM89$l#~0r_N7y3l7K%M3+P682l8-52Pi`c2ax-_|0m- z(ZiD7Rc!JKcT$tw=@k+9KXN~6pGUv&_by9aS+T0=Je&)@2&}pf-4Y?+c{e}0UKP}u z8B&@0Xy5v%?xu4>@%+Icd7%|nncmMY}l6FR-~LN=M2UnYM6ePHeS`nd9%nFjQg zb7wxWu~eVw?X@)v8&ZkABMWmAn5KtES9O6?fbQ)a8rnOESX2KP9Q`?Eh@_7@&T73} zYpO{{qAh>z%z`+e-1|84W~-ag!I@ZGnKgo9XGCY7_dGa&=#XI4Z_*G6$wsys=U;yz z^hXL9csW;Fn5_bZ&)(K~{oP#c7H(?BkYMOY+^5oecJ7f(cr7y#(f2y=liptU-p_qz zyziWx6#sN8I5jgl-UZLZ-uJ$!(*)*iT2~&UgYkd}(R9sf@jm+&V1`ZEoMDJvmQ6jzO&z24g1>#D53n{gJRD9hn>_XsL8{QVy%D69qO{5u;k9iT!K2nFW#ZpX}K=?Tu64uj< z*h32rrXT2dL)FAdINa$wa!*8bA(?G}tqHgPj8hhyEa$np8FU;hXZ>{l+{?})oLl%_1P|_qrY}E(qI8v0%=KlALHxrEo4y|ePGGytqZ05E~j?fdokMY z)ZI3h?=lc+xI3b@JUX^=z?CpOa?@Y>rN4mc^>+it8*iS==$C69;@3QmW^8W@BR`Ii zi8uGj&bfwcHrhTPj5ZMc2Riw1wwStleY@Td=-GyJDX(iMBJKKtZdsoB-r)?e1~;Rc zcGFcTEmnga5L|!jn-Q=Op{gLeBQLve_U4g9E>kmavWsGYF&o|z=?A&&eqB518R+(h zDZBKx`cx7AzQO5d{?VIu!7PS33K=9A$XaqCgDR>_B8_c`N`!^|)i4D%l;nMS8cFpM zWbu6A&{>Z$q2X%rp>F}VI=vco?>=aF<~~-u>@E406mNMqpLN$bjWF+jx7*5sdR@xq zWB!oM>(k~J12b@ek!?4^b>%C*b?tL0&?vx_l)L}~K!4cd#DH147g=c?`X-@ACX=0Gc zkGtZyL4(zw$%mmfx(OJxeAd3dRlw7@>*0`y1(Rqr9nx9cg-wHfwwdi{Dgz}V9TxMZ zhb|IDbTjffQ=1wvX?42@vlwU~*r0lnWU}{d?0)}&a>|8UBXJn8;iFsbOcm zJcZ5N|42lm2eEDPVo#^a$1PIc0Usq$IvxN^cwuHQv@@tE8gKL671;L%>C1rG5K%4{ zTeNnM!wu^{dAlR_hnQ$hT*L>MJBjVcgxTgVrmFUw`EE@M(k%12Ll4Am#muX|`yUQ=5P zB!S_<4&KVQh~P_{{6L~m#n!hZcB_d~VRe2q6roPSL6OkGX8hxYvB)zg=qUH_laZeC zy2vT?ARZdL_niw@9Rsmf+Y?&B2l2yJ>K+gQ486vfBFze-2y|9*jIWmzqADyj30 zIWf&@_1)V+f${yobh3rIdLiWQpvh{Umy-c2mN}%g?LA$7v{yo1L%?{tu5Vv>tM1&F z#mqFm8BIBVqhhucjXCE*IAL9ut!PM7TqDI>GKr4=Cw}Y^+#a?TsKg3)&|y-e4IG>B z&CSW&N3mtL*#@MCV}C$THlXPCml1>@L#8xCgY&FL`|dwk2Ve>kO$FamV}E7-Nz0J? z4hR{DOFiW#=^umr2!>Em{i%oEW7b`gTG)riy$3X0?e{+#B|&r&CCccXQ4+m(AxKlAmm#7@bVGEaccO&|qK`5< z!KkA|FQfNh^ghZR@AvzA-}hhcUF*(TmSrtx&VJ5$&fd@dl;>2=1pj_4`Tfw2w!J>I z-H$8BNq4n`Ql7^84;d&=x^?5_TH4nIk!a)h(uMI_bEZ|u5V>FZ=$wC5$Cvb+ zNnrQ5%6jNDmxZP)B;D78v4e!v?m&5Mpw6=i%3MkPcD@I;?-uYNN=oFS|E40azQU;t z6fxJCSFQE@33K^i?8u;guK1XZo?*~_(|V}9lqaIs>cRz5ga7!zbEj##5O|^)j8DUq zkGHba)gsvM`|2oXe)bLNNt+zOlGA^^f?)#nkUhC6PbGiV5 z*SD`JO9jt0skR^GMA{(NsULFML^g?InbWq58n*_q@((R*_eXZ>1WIm`HIs~>W0ox3 z$nlOkO<#*Sw_?ie6op1zzS?`E5hQFTIXk5r;<=i?uF&k~IimsWVt(Wz$?a=T?NKzc zzV1omwWUL7W_}l~5;RVp8$9fgd7vEw|6(CrpxsG?C_U1CP)^#U;-PI?l;`=4U#Su? z}Xmw{XD82e3gm)!#Wqz3GLFV1#@Dfj+7 zt`%i{L4~NJ**}zd>#<4EAN@s&YL8$!m1&cIjYH3t1CJZ*$(Ekc_-HD)*J@E%q2JqAROw1?u!lm!cX4NgZ^d~ewJO?!rwQ@{Ve-Y zj|dNrqb)h;6=&5&5ptGqirft}56AuO(R0XCx7X4+L=N%zuuro%E2@(9Qo*Cm41W+J zSKNxn!Nnb%xS%xeB7oFMaWktfRv%LuxBk!+M4CS>AG4&BHL%@|ZM++mIQd*-y-n5c z$>iG~@v4!F0XW6MEF6dP_vh{OBn{HL=833`iydwGjc1W7e++!q^Sj2#QH+dy(*h1| zxS369oW*|6QEzNIn#8+dNJC|g*@^p@JTwuvq#J}ld}##3*NFQEo+Q=C+0wzEvBVb# z6a9y{A~Th^RoRI?C0gfj>r)q(z#?Zb)tIS8hRkWFIwk{vyMRj{`m$5!NEICT?gwGi z8}GqDkqBooePh{U!a%0WulMnfW>P)7S40Oj*vJ_i_4AskHybqK-^JW}*&f(= zLQ}qXzRWE!Yf#^fdDf)^7_7C^|D#uOGVQux`=yEhh*##I$_{tPQUJwkd|>R|(p>L2 zfymZ+K8l>`;@o!rM3ZG9zo!J9vgJSaU>T!;IU6JHFL8smq;e_Uk~ZkVL`pJ4I~~?M z*=JMY^NZM>=!{{U;Fs7;+!mMnhV28Aul=Dc4}ca%!V%s0KQ9tbf?v8BqksE5g{?f$ zq}Pe=#4dXtH=t{?!Su>L3%Uf{=<>xjSk%ue$>71rayf4!_FY5-pO1k&_c1YO!WG=| zv};OuW-g4h9S2+awtlm21-Ph#^VhRF#9w&t))y?;4Y1wiyM2v;2rOD&&f;->@xZtn z!Ls7#21{%WcwH)2PVUKnmX z^1u&G`M}dPEUiCE(pM3osWXKw(e)yRQ({)KP-v|p<`3a7YwVBmQq>PZHR480)!8~n za;q%-l6fryY<>|Kv@^PNlv`86o1=mTFCbA(H&)sm4ENvJcj)ZYKU|GCkDik9ySXxy z=vMgL_R!wH)??hi+u^(Qqpmlw4l1n`k0M^@FP^4lhpPy? z=F^_0g$ier_TZiT<_e3}&mnmA?fSjSVDq>S34x!_$SH=%!VCW^$ef-Aua{Wtb32-2 zo3zsWDdj%Lig97P&aCbBK}Zpu+xJ1?Q(Ki^J8WzYd69H(G|=?)O8BGJhr-2X-Tc82 zJMr8$_@x^y)=V0PrEy2jH~1;^dy1ETU2BI&K!XR+0%I0UI`%&T@#sJww{ofH%Db z4l{%=?pKF*xn=?;f4oXp)vy+1QiSBXL!zagH~)<)6-YQ4m&Vh)WX8 z!yvW*+J`fUJ`jLE_?)OV4Z-2uxat7hR2=*ig1KtiTi*!QFl6vqP*ULcXMHjHR0)%k z=rR~VL#}@Q8<_r~_fh-Mil=6PIATZ5pDvFJB`)~qSd(~AYQ3S*hyryznW^9$0*NzC z!wL(;=ezkuII{0Vb}E9Dy>x1L%?*xwyU~D)s#j%LH>6r+n62fS(3(1<-5aPjUrX_N z;R1TcLqq(_d&jrLWOgtb=zZllw>|&|^K^;J`7lv@;OJYtcHeUWT7CI|o1pPni`1Dk zgrvugk*fv%=3{4U&GczMUx%dZQI3GeyoDRePos|~0 z><^4UK<#ucXTcyQDL)qR}x05BUUA&0OexNTIpK1HUSstfX!bWidIK=Hu$M$Q| zlYFx{p~MU5UgoliG7{%W z<=2e^-}z~QX--`NupE+TQovJ5<*aJ0wBL^{BAk~psgf0>EOH7Bj$L_{NfbY1rKPrK z;vj>taBO~-cd{!-RtvxAEhuX#WCm2pY-wb?V*oZuzlNof^zl?(B%b=`E+{=b1!r~l zVgE@@cWjxytV4wMtsp$sA~RZl6DAC_W$ zo3iNsIaDfFtkpFObP+ul`p#2$5BT12vbD>#!^_Ld*!Ic%qan=iu!v+4od@(&8tjWG!|EL&Jp=vL&V>TL?2CTu*_4)J z*4A&-3sslvCp9lEnF%ysA=x`8c4i~%2v=4ZC+I!bJ|`uO?{VhZ26*k{x}@M)UO`Rh|A&DOyzr+G5HR(teBk*b!(g~JtlwwPggEd1;GGFL~tR+ z9aR&G%065+nmD@~ZQ44KS>|WXt<^vr_U^+&!B-=eq!VeC8pi}m-4%Qfn7#!MelB@R zvXOlslzEa3_t5}h5!>aXj==f*24=<{GFiH^s?FL?XsZD9$LU@fcbLWewc30xa`;4&%6$sHSjuNfSPc9osmo z^nN}p^b8q$VuT{njL9HgcIF;hN5+e^{0!)Fjc61sQ)ks@q38Kj<-BdqFrjbP%{QhG z6!56~WiZLNRm$K^k=cbkaRigsFpjfxvNj4I4uU>N!nkHFL{s7}>%k+sy z`yA6+PJJ|vEu-RXH@3O&2BhN4W<+RZ_@z9-)2)US8$(?Ux|N1E4>UBE8)PFb4obu+ zMz$%iAcN)-hLRqQDUS`JwP}$|cTrO0_C+|)0;umolw)Db!&{;;chr-2u8!ELm-y5$ zIBVd^(6&t$^UePrv8D&blIPd8v`!|rZ77=PSJt%Mv7tLG$Z(Ty#&GR&`T5vO80e0G z+Mnj6EK|XFZn)6Gk^8&)l1C(Etfx(c3Kw_+Z-(p2QX9Hle-emknKL$oH=BKt3LlUN z)f1lcpkWCj!Sa{XGC$H_ov{BPdSBptkr9#49Ku-kfXua@iL1SfQp3cd7F^Ptv!%y6 zl#nWV4bQIYiF#6hOGMuli04U$&lrh59v>*@Guogaf;&v8vCVOq&nv&u@NtWF@&{9# zUKo)D<&Y?v(QPJq$f(HP8-bwda-uN*W=^cw&%Xtu`W*WB{hAqX#6CtDdK-V6FTzq?#HRaq$hrJxC8?2nC5`(Gq9 z%Y=7F|0Ak_k>s<+rPk3Ltq-_VSq&WeT^O=!m0uPa_zX$=I!8Fj=_l5&ws1;^%xHhs z6NCxp0C*?D{}%BCk(j?9j#u@WbDg|sjiBKDsB7q~gp?~fqxY`-3#RMnQi_twC9hC@ zu)NP(JG-3BXT+7G_m2)Jj8PR7N457FyA#d&ms)UB?Y1Bc=H@M|8f99JY0kEahn}9g zC0}>lMx~#eZp`61de5ZDB+BwgUmuUop~V3o&K^?!Yrw~j@5sU@%S{%}$HY$QB@oS( zpd)$F|^JzBbOp3s#g!r;3f@6C>y>V%O%&pU~w zeJW9ChO{0qhf3PvG>o2CaS_U5#MRSS7~pXy#2p7djixb{7E80)#7^D961Zr%HaCA}vVE7G!$ z4=gLwM>+1EThP1txyr{ZkYuIEIKLFq!WU|TG@tr3E~Ip>r}*vpo=r_V%=nue*l=#3 zTc{&>SFW**N%a_)j?lf)lA&{FYvFB$RQyAnE&Hn*;_Of8=fN#h1C?LysQ%?@T?~ZcL;ZZOr;>TOn>W42NZsr20N1k)7@pt8Ewqwj zeylh9fQeVT_Kpt88h~GIJklv^nYgX-YELg1JYuR1Z(r3C12%cYP=1>}m*J6M%`Vc& z5QQ9M|LZQ8bG+1N@m-BmK}(EQX8m#Kr=~{5>@XS$(i0uT=?QOt){Ml3ii>uqrko!BhH5FznMp07Z z3=S2YNDyjZ#J#T6K%#ZuxWCvS+r{9vy3PB3=!uzy34w7t?x9c)F++dl}g?~+gur{qqRUb4F-_RLBiMjUKb)?z!|^J&1X*#CA#5 z>>W>wO1t^@!%yJL6`C%3wci2O3t-Rose}|f(=)X{kV4h5p1tTLU?qJ8{cJ=*ekxT! zn!IFs>sQ+&QO>o*aO2(i*!Q40FyFWBh1aOcb*|@5pj*fD)!_ktrhkv;Mj@Nmu)E!v zhhJqgv{IWatcj;j2L!3F8JgSdd;^<^0$#Q6Df|L2<|K$eamd|l(t8?nDOu^GX)zRC zp-neGw9=jVr@4?<`k>+DwwyD*V3qlwtQ17Td7P%IQL>cjHZvaT%V9u5v^Oo~CL`>Q z(I`nIW0^ylk|K3u2Byu{X?+6g=Ev^`5{&03dnDdAGu2;THQj&pA{ z?phfTv`6p}-G&-K4%KfO6WEPr&nHgLVLZ^|M~}Wj@RhY{p>?x`cs?uMkEw5ix&KiOd;r^#h3Zc&^P>YpFCGu z*nVcUIm~)6gA-`}ucRG9#TyO_O8-1vW!>m`wC-xeLviw1)MqJ}vO#E2v;+V4PPw!a z_V3dbYKyU@eBO>6eJ2!|93SZ>Aqg% zeqMs+q4TThf0Tq2b&c1jh->R%k)Eu7E}SAe`kAHV(ffTY$a^UK%&`M4rb}g@PVNwP zoR>W7<1T;t>W6?;Kb8CCpMpjldXI0%jUJN81n;34i7?)I?&cnYs*$|bJUErH8bINhN;Ij8Jj^CINoe|2K@N)Z&#nqM49 zN}bKRq|@i)mikEFULB>|Q1BUU?$)hD_hF8MQj8}00|m_nGsKdVvO9=nW~GjQD>zJ7 zf}*6RXTA5Dcl(8gKB>@qt`Zr?gc*cI-su<{mqKX1o_v+@YiZmrYkcyv4}tS)Byqfc z&`o&%bSJTHnsN*za~&m!P^}qvh<|5ocfM0K>6a5wb7B`S&CiNVVaf#b#p7 zMcZNKx9)#MI5ZCUDW5-8j(W0oKgA7O^}kxC@Oz5-A$a1RS-Bn_uKN4xDOUHr1$-apGqTDr=&fX?RC&>kgkojEzJ>I>6X6D zeBqrw&--{-WiCnAc)C9#YQ98d@%{)GxjV5$dk~(l370;SJmF3)0YXMD zeyLMWPZQw`cq$L*p3-1ZAoxzG=7=Kc)|5JVbK88U`=oQ5GHY&fnHH7yJX{@VK$p8= z{Adqx=8>5*Qlju++AL-!mOI_aeaZhX-O1N_myL!rA#34LAGCP)bi-^T;@=U0nspc0O~1IDQ?d2L*jJu0L+LT}KF^ZN8GWq8?0vRIc`+ z8EO?Q0(@bdsrGtea5cPEAQ!~(l`(`-^P?SF)A=Hf;2=dL*UN0S)w&B|3i z7Omu)oSlMLfE(kjJ)$YF#H3ZWEY=dTpdyT3z45HRw>UEAa<`PCz)QeEIl&#MUU++X zvfcd4=D(D*XFDGI`H&f42LIv8Asp!VrR$ELFFDALl0>LN#vdUV2<>GJDr91~z%Nf} zb|~KN>=%BIK5Q4Y|3Vcl_4nZA36#;wq_p{{&+;X@ij<0vkK$oi#4&HM(;8q&+Obbb zz$C0`=2`5KaSvj8N-Btb*v5kJ{PUFfHTB;YN7jKWd+wOVN;hE$32=er|3WU(2;05S zn4_^}+P=;@9^<4DY-9;ryLdqCdbz)n>+-7RzpY%#R$l zF)@;(I&YO@=#d4xN7L=^8Tv_0DCH1?b6Xh?FJb{XWIQoW-+3eW(P^mrGN{$ZN+t*A z8_$h10j9XH75?o({Joy;zMs#N?cYGgB@ZP(SQJ&~#C;g@T;v6$yru{g%`c)G8A7u& ze+mQtuC*}J?`R3>95y5*xP18!(xZfFHjjtzF*?V%r|AHIJzXK^odVx9@;8Ovwjv(! zo+shV|Md9TWloDsc+B*TfE>H3ooxz|V&Xf^Ytl07ZTZ@|&kT@*^LYq&HOTAzZX)pTRZO&A&WQHWVs;rzY9QjdKAy=C`w*)k?NU{(kU0C zDY5(+M&pYn$=v3Bj&fAzm%js6^ve;BqiRd~vj{PTJ@U@~Sf#C7oJ$ozW}M<777}5J z@p`gXMhT`wCBF~3Uv$nlx{&q&5SXgel9!{=7cHeKwgI@=4~^2wWGr*}AaRspb8B{v z2NRp=rPzAjiH2mHhoX&K2A-7_6m&<#dU%olgS8UVf8`v#Quq(l`ahs@2eqC|(30Ah z3KvJEDL!T>AxG6;5AQ)($4x$+sunHids0hJkxOl%d1wYu=po73Hp%fn3xrFdnsAuM zG~*MeAIoQG(tkP1hBmBB+cquZv1)FL|gJnZms}E z_3+r+kw=VHoGi7x;}sOo8$WcMOr(|>b3s^3b6B+FJPytUokYtuy> zv$i)k3mbh%|Ne09_Vg`;d~$WYTf){~9Brh~FTTB}ulPToyxXy)aE*!mcvf|;n2hvi zw#n%d?hb1&n=Hk0e!ecaTojn@<<==F>_@_rsfF$IK+$1;e-eLIFY)}(7bz-Q?sI}f z@&7(EDRsspMT)=wjMI3?6!G_=s%-b;l>R;x?c(fV`uh{FCTIEgVWhpErm*8g&`pum zV8)+@#zt6LfaP23G7;@uN!L&{HjjTF_+yfC%9bT;|tf*&^RyHhtM-qDa5!2 z@boId;PyA??)1h@z99PojU&J*yY80VVW$@0EaP?Y!hKJuyp9wOI6U&;!-pR}Nsj5P zgl4%7o47(xK_>h)28|~owyngzXD`pLvTC{idxn>LZI?3LU+u56P8_bZx*H?18>U=a zGp~)T;F&eoJTeC|M_Um0J>y!ZCHY!(1J;+(;s%&PmhH5R%$<(dCyDJ{Ol&U{O_-fV zPbbxbU0q!-e)8y;L<271D{Y^J`(|)nq1y$?6^G^%owF6dZJnTsxjy207;qhzeV*+Z zIF3aux!7|216V(4;@2#wBL5-Vzu>X+XE#NI-)yuriJtw#9CVZ88x?p=cZPwo@83J@ zrz?L5S}$(Lh#$3ypnE3~P~W3k-)k?KQp5TYS2wrI4J4K<&d9a;wZ3_jm_wn&LF)G+ zKlm~CcTFdDBC)^5JQIUV=T&A8o~X~4;7)ua#1=wsi(jb}hEwn~cR zX@(!qwZe7%v#p;lLNeWbU22`y?!FU7f;(hq{hmYTHV7POeQyW5ZZd}zx;=708;5q$ z>$md$Kiel*<&9Y;$8|2DSq5oGSwk7e)U7vrnb%Uat_u@m30Zd?bE!dXS@nweRz!{M zWW#-YiaN87kc)0)7$6a;xO3NIeOHM&?QZisWcL&N=11bl8lZ~}!L?FzA?0a7H(9e6 z8`*m;he_qCge~JekR@;?g&HgA)Zbf8ZR&8E&r#s|m#VqUe1! zKn^b2H#^P+^?u$rHM2XiaF&uJe^rukLD#hQlF3G^f7K-S@9QYZ5NbVB*np zTRA_wXhrs8&YRH)J(EyK{4C*rmVLF}`C@xg>C&aNW#+lkmL0eut|d|#9{$sXTc-QV zVvs+1s7klgmUxWV`HI&_YwN|{%^o40$a!njA}XESADt@zA0^GEIRM_57Q1U$z{ZQl zb!)2;?a95!>u4HZm{aZM4<%`Mr&GVY@CrJAJZX6tYJsmwRNivA1tp?SM|Wb4cdRl2 z1dMV!+wUN5q!zNOL$@;pUkw=pQ$W{jRbXD(_tU?6|DcoJCr9*52mLveJb;nk>~%|@ z3f$_lP_*s511A<^wr`9k3+V6RC)Ad;mEFy9tAl$j2I;XhUyF2^#%CDB&IY>?@6 zk!6{&`<&}|n8@SxgA6g3A~+9vNj2wpdmW6WS3CPry@p^S9F3t+5owWjV z)AaH;ckwO3Q%^86v>f`%F7r+Us>wxECVZ@5xSQkoLNa^Ser& zefggA?TtKgDBU|7JZ^NbTe+h3#8>qJlRry9?5#KAXtzzv_|3qCk@uR?uH!#oJ0WjvQcQHzzt$Yx>$#dYAN~Yo6pw{{iuP z{(SKkT%EJHDjPc~VvhR2-8pfg~g(d47ZZkf_5pmqH+^!%qQ8SILheb8xW$FEDOW$&PGHjqs-V-ua%)~aZ? zrQEQ~!D~t9OL`B)F_$b~yYLJn5ZfEhw4KiW1jg7+xIS}t@JA(_uecvPq{g1PdS|25 zsq(kKjA%W_7x}oV>OSweQEw4rKWP0J{K}t`GvFJaOh8L1-;!LGtK_*(S-^oO`yPhUwUTXScsDvx#q_ z^XLna3t4s?G=2EppF@UwG%-^Nj7w&nLr}>feRB}gsFuJ+7yqmmh=bjB=e(7c$hN;k zF5DpdzAFSH6Ka@8lYhS5U_#p2TTB-!2?^ol9*7I_LmlR}{H7}^Q$4awW-DjrCmh>E z;UqPa?Ar=Xv+Ke7h(uB-VB0)Xe~krnlAZeT5baS)vmUapQTiyBULrXYHrax=&bz znD+H08m|Qv@y5tl2IZ+^j+>UOVkE||yEaKfEg5d+$bsjdN8Aexwds~I#;$=t&D&*| zE>Nl)Cn`ne#N5hB#6InvYrZjdgy(>t%x!bOnIN;xBtJ=pT}N(OTJnHbn>)Sh&`Arj zoE{)N<`_oDb`zdsIFTZ#{Xf zX-sn{bF_0&0#)U+PDny~xMtXm5u?`Nr5OC4?I8&GS-vAnikdfZ*WJ~Tx!oVSLhbr< z%WP_TxC6$ERmI%a{9hc`Y8z*g9*I%FTimy=X*XWAIq^t#72#J&e?^xF!W+lKk17+W z-&vuv=Q%5LN!@^^4|Ze2-)GfSGFNZQDRsY*-h-Z;QZ`b`o*VVTB(sFjOhJIReAsefPuO6^I@!V&>q%>nVUm^tE{mF+9G%j!=l07ZJ-aygSzj zKK#Pl4Lf4fAJlEV9B;sS-W#bF&l1lwm2{f%vxY!F2s=RLtbV9IMD4%5>UL{UOSCoZB$EUZYUyYLRw89zB(>eo_S%)a;~1PFU*Bu zi)kfENk2x$^PNzCe*Iv*X{)ICYMZKi{mxgFFb9VnMN+2dQqpKe1K$SJoqAzLM0V0T zFas*aNO=?}4$zFw?8p!GYjKW^qwL!zMEGq~^%Fwv zQ$ms1-`3PbzceL~%^KBAs9YVt^@ymJvBK1k=1}}Z1ys2ngz4ln0|h%o#(O0X$ z)%W_)SjIK-%JL-NGlSOA$L@=SC#-twXl3YH#eFqy&AN&tsKM^U%1^Bx^eX;sn()LX zvA6FoRtEN9mJjoF-rj2qEjME6R#fa_at;AM1s8SL(8+FbvQlj1H$m#YjVwJo17!T! zyUOI=;-f(+)NFdI=uSt1CoerOx8g z@=WkRGA+f^RqS>SgsVz+#bz;MtQ8v#N$s%DYyQcs7s9hF&=K0OV04@37yZ%qq-CIG zpoA96t*=QYCq2`Csiq;uBZR!^o>NiI)H7Pg8D&35R+w_NDZ_bg2L@kXHL>)4H-yt# zd-`I3d%-yr&`jKlATE&FC$F_T^z^N7WY69{BSdi}j^EWPfG zI%w{SB?27k(of2U9Yh6;aX!kLJ+lO9+PlSnoQSQORZ;*J^@EAKAJPiJ2*k#De25+V zwv?#Aow}OI-@0E;IY$OWWSQrk!-M6Y)8B^1Etx-oLX{ zjaj6=6HRVGJCv^vg6LedjXeyzAprJH>}MX*$SI&znjlF=j$O1muSA@MA2gHUNgEUV zDGMq&;jKY*_=cQp=q04|l(swKgyo@Aq;mYd?i3vg>O^c@I82~oT!sRv6o8GfD%j0I zgjJH^LXw&{V4rp0P+vxk*~eH9!M}4~|NRbDfahHkV3G`e-@~4V<5;c%#m2Vr`WGbqXC4C^zMVcFJb{XttnFkNZ4G3UO3%!Y3t_1I=lohcEZ z?^NGL%~;Ag_S!4pw)I}qQM3u49pJK0*2Cl}IYLDuG@G~jF{*A8>UWgBaGb5~gX4>| zH90!k#5XHp0J@Qq;hYGgDU%ptu6F_YaYtwV%H6Kl-iEsGNYcIt zK=I_H`RTvjXWqUJW+0{u1yXe2aJSXH^RH@3_jbN#Dv|Ohj5)fH4<3pOV6zk@+vjDn z%PyI;;!SvKo!o0{-N#~(MqI^T*o6aVQ_r&K7eOs9s)q}&GEA?N#zx3}o~kg$bkJd+ zpY`cI=u~NZWmOj?{J`ZS&Ci(9>@^#2{5Oblu1RC>(p!9J#5KUz)CzJ#{+j4yLm>so zl>nvloRU8MagF(SR{@35v2V)-*so~0zB&Q}QwFza1nw$Q1pyjg=9f{A1IL}A)uO3L zK|`{3e?I%Z6#HQyw`Qc@&AJspmfpJypt~-9+bZSjJGszkrZD8Rw`aHs5FwWn$22p` zx2M2t#a{VvfAG*DQ3=ZEFAwMNIkTu~;K5MAjIk8WzYnoxEUc|^&n6C__xp5dTb0~f zB;;zkV~&5xCd46^R?R3kz+b5BP1dwpAJgin`0TT?Q>rkFp4|~{PbY({ogPsCrw)5S z~7Z)~lit*%Gcv>&^**T29H@-@FL2q#qs_S0vszUc{ zHdAb!?e;v?VtzwY#ubWay-jk@w!P*z^f?Rd{zV%&?00ine%s*XLlKzZ>?_HxCz}>> z+tH1ivUHxY0$Ck55P(1ZvO;@_fgz>95HI^G?jApe=wPqvbG3y2Ee%b{Zb9rZD)Q8O zDthcU#DFtOxIA0fTQ(??JLw+v#`6pnQqd~UWV?<_Ifmii>($NoqwvH9VKi-A0d^W! zLz4KaU5T$JS~y;5))DMUNtfG2ZdUu+pxTlJs&i_n;R*WcChQ2|{Gsk@t*j|&t+{DU z8u0+}3Zsn15De+90qJV5Y_z8Q#*mx9m))62V_JV9bce=x$eqOgcUY6_cpx&@*M}&? z-~Lo-9?IT)xmA3(U(5K=vB-i?m6xmresPfGS%hko%vuRXZA@fb|)$${IbZFQh`b(Pu$qM1PT|!gB_Ng*RL+`eX_M~9SMEl?05We7WY+A7NP@o`r#B1 zDawVeH%=wW(;4Yw>BFiMY~9amx^S(eQ(6tQpNdWYIH1t3TI`l>cN1pD7o%ts?8^CJ z-~JhZ?DAc`w!?Ua8I>VXX_9un5D)`dORNE!!1ddsa^jvelqkdH|X)OqMeAEVY5Z1Td5_r!9|0vS|h+2jA&_r+N~2q&JwYVCQ|_ zAzQ*Dz-*TNjCJnG_DE($? zqAC4=^)Cd5t#~lZW~2I>MBmOEpL=oj1L;9thw2;&NkcfLU{!(B7<&Tf~fvjmewrJx`95MDEokUW@%9E?>!S5@51${3%fu5%1#X1XNFN+;vh1Mod z-&y;rs+JY&TVUod{VGFG$_y%Eu}e$${@B2@_(0N*H?eQO_Ft_E9Cet|V}L>^!kT|3 zFFjkTtHmCnYH1TxC#{M^r*hm0Qc-r>)RO0L4WhuzUel7C{>lJz?3tcfD~ZC53wFY@ zge0wBg8`AkU6n7!QoOn=8EWnic7%I+@_ZM8(r#T}7qg$6O8Hx6Y0(^s5Tn=V^`PC5 zv=*gMRRQ#K6!{L!tSp7f9Trbm$}-gb*uN=>eQaw)9Xs|uli1oaGZx$)j-6uu?g4&^ zDfBDdsQ=!^eo%GTaKWv=gp+9u0^;)1uRpb`(fHkN`3SY=Ncl;9`L8oS(Lto=cERq6 z2>q6j!^eKXrK!GBSxh-Q)7-ap4P0p$7qa}(To?d88@4Gq?Where$w|_#I)|i<|#5KLiX;I44mL2^W>k_s3!yRg9u7bo(SPtiu94e`rh0%!xYZ`!YE#LN0Zbh zA(DV>?VQ zzHwZPVojUAwNRgWNzq`!{F*(V7QueChThKBTwz!sQ1n|)4CMM4=&N{dZOZG*^KBZX z%MZy@Cb|*TIpN45{qu2w`YbP@Aah7|uk3^CnjT4V}z{9u25-L_!B{9v)UBh*1^} zizQ^Icc~saUxlD`ai;<;j-o`R{|Q$?K<}?`-UcGPNJB=&+yA*UgiK#)3WJBESl=-#bHh+JrB?pkDJI(%)KO|Khh4*E+ zys{F%H@^m10kIHcf4I@f^ZIE*T_n$HD)=S7oL)4l!ybRpJ!_U>pr)PotWEg(3)(WI=OEkQu^!sPSTO$@mp}mPc;(PRlFwDq&i*U_JDH z{4;&ss-Y!BudG-Z{Pm0zMX6%9g&oB5QVIa2ZR(o6`l3lQKN~Um(Nn@=pKU?0sosOA zB!Tf>T~O|LylZqD@RMadTcB&T)(k6w=MEOTz1{=wXrLtD*-}KHcoqG+vkkU~#=XoO zmaWc)t>!VN9hsA41(HO$Kcpyiku?Mr%owbR>E&Dl6A)uczh5 zr{3=t+{3?U8gk0S+`Q@E>)g>jNjn-x01`|}NI4YL6l5O*RW82yhj67#+J45YhMX`hNW#3NLG7i*ef=C zje93pzNg=Xs~;i~gZE7+QL!2Kc|KthNk>;Z2(ir@`gz&CfL4-Wqeg%uyCt0fI&pcx zpi}8sp>=fmL1Q7zlXwCVa&o*O80ViUOySB~T3AJges#{G9V@;sjMjwK9p>z?Gi-5f z)Ks7DPL`s&ZnXRUysb5EH9)ycu(D)@e-VBhrbs^&>9=>BZ!DMZtRO|T=-cS zyZroXnajo37e87dc8oIq2N>Uk!D{-+y zbN+C$c6Iw5h0X`TF(81bO`D8&w28L2xA%3Z1c1oWgg-`uz<_O5C9$KtXsjN0OH<5{ zi=4ak7M7@hUyCqD^QOTPVJ=w7O>jmrz9q#pfZp~+mhGd3K!uQu<@^|6QQ%E6H~M_K^TG@ZDYn)v4Fu^daVi+S$B!`K_%&QpHWK zoY90@viMGyufUeRuGD+L>HX|%GZP3v&7+Kmz~-IKPHxz{1x^SAe;&tjll;v_^I$zs zIb<)#Yj6SQA>HK3p7Y#aH2IsG80E!yS-n#A{XZF!fE!GezFRbHQw)H4o}*t>>375E z_ltgCa zTd&2Z^@5IZx3NQ%ztIZHdh!+XkXLDZtr#qU2jrS*3zkGMa#$(2FxXSGz_mIWtZop( zGWk`Ef$2-w9#RU=rY!Uf1bptJxY2|Ec(!^pU%KGtcO+~Y%$R3GfPU#P^ z!b{#p^V%Y;;_Xv?q%DzgHS|*rBd7k&gEQ>ti&}Lm((BcI990ona%QSQ!^XO8SWJj_ z-qm9AB{9Jc03Dj&u&JD>=UH;b$v$!8p^T6)bE(~VI$MJp(z-tC`yL1{^Rh@n4NmPT zg2F9(FEuyVxLZ$l94{b;@*Od>ySq+enAk#exn<;DlLQt`740=bhRuo-sZ`i z+&U`cngyNcugN( ztq>aUFMO*xBW!T3dYBu-iUM3GGCsl$m=VN&f|t04EKZdMAf!F_A|&;<{Y&8i&=PnM z_)+gE;dCXoNdj0}e=_y#e%ncttA2BzDQesjtPH|ytvZJcl?htWw^{U=1EySR%qeRI zr8-2_&`{mH`}5KxhZ#m>&FK>|_G$V6_(?{q2-o&`J}%v4sbjCRQF22-PoRb4H4Vx5KTV$>=NQ<7Y0qtkSLoVMZURDucyPXn~El+|R~o{6Sj&kW0V^lN9$m8{6pifz)2W-Whg$i~o!d@=-SUUOuhJQbX! zEfh-AI@z47nxhk~=q5%c(qg5hkA4ZG}lVeh@8n%cg0VUJ3Y zu7U_i6QxS;T~I*|z4s=)OP3l2X(FH^y+m51_uh*N(pw0<6G{jmodlA*!}%T0`QGuq zHP>8oJzb_BRw}x~J)$#o1K~d~8r} zKmO|K)fJxU{yco&B3s4!Ccj}yk4PZiN>QS;(A(!+22#0tBCgyLrG5Hvchfrn#>S{e zMl61XtOgPBCGt6_k5*Ld-V>u-)2SP`_4$QY#NNcyjC%?su}XDtNtn4!B=-QG?)P+{ z9c+OVEe^i(Q3Lqy*$>@2H0+&sp9^;HyAdFLOp+A-p9md;xTr_J;XC(hI3oY`Uy2c6 zcFe|w5mPt*jAui)N%4$T4PC_GAUPN~T}fx7!Fssj4;23_8Nqv-u1U^qMLMT{kU{u$ ziPt|S0Z1ooscF*$w?X-rL%C{o2EQ=Lt^B1O=$sRmXD&^B6hX&|Zjm0a!58KF@cZ2! zckTTtQnq!k#_F2~d5`V1zdCnk^kIujh6iKdakDdSZ5k;vCP0JfMbC10oBCw;i_Ni1 zZ!Pu@H^)rX)zvo**M2ujpuGA)VAhLcKnUFe^HQGV;`M-Rar&FCZSVFzs8_oAg~d%1hA%zPffc6Dq9 z+*n}*A+_r8WXm|!l;s`BtP9=>-v1`-Iy;5!=>lr z9oT5Z7(VhPP{ZwD417_6a}Y?n%`Tu&@C26Mo%P8^1Mj2LoW*WC?}A4+nPgv##F-=Z zG{g6}fjr%l?+d!m7d%tv7D3*t*1RF-+t0syaKMi`l-&a%8XU~mQ_T0|Of>3%vlo-y zOyHFGJ-uWSs!dP5xy>_+Jj8f7dEamd0mLf1Hm-8cSSkrg&j#SWrf2HE;biz#5Q2|& z&Qr@05)!jhc3{(#F)5$W7JYMLM3q~7kB-QbSeYq$!PW8J9OrIQ*&zZ*^nDAJ#dx`Xu$ZKxF8lY=D{(=3heMfX**NSKuv@#yq8NK=t1a~r$6wdKL0@O}>~N+&vL#SXZwWerlaNmlO6)OV=JPM;UKVlnWA zyL_9-3*H9YuHB9bV3)lxyJh&}dTC1M`<3jqZ(G~jUR?U6UVwje51n$s{kw}p)yG15 z^i91JjN5`+u%MmUhMgLi*#YpbCt}uf7QEztSpVR*J%2$bdHU(b!pWN{Jr?CuXNSsn z0JjXcw<+p!{h-wBjXv7xVF^Er!g4p&KNo-ILjhXbJx%f>Gi-4t=ntL6y}^x1A?`F>aV($tbgkbxSBlath3T19|iA zi4sVCJ>Z>7J_(vw7?1O__nLh7sN^2xcdtD~sn5(B#L>;TUy}+??4c_RVd4{w;qnk{ zHsvkZ>(C3SXfnsD%f?;V{m~5(@)nCWn)$AJkw27(tm5({`yO-HlgR-6k#4gUuB@1uJc66bZTT)^)I@yuPEG`{`gm$ceJmw@@x6K3K z$!VNr5@Ip}qSt0I<1xW0&Hs9LWk&)Jg0b;~Ix-$S$(@dkclTEBAaDEQ(65U^{jV!qgqzbzN?2ZJ*LDdWiXdLOl z-I3q#xsS|VV#2B4IUokMZVHz}V`HO&ARMi&Q;p2cAty?V*Ik>CBEA44M+g3UWFtv< zT&SNi5E7d40`N4|6oF@=wqohg0=ZRc^S}{P9F&beGCDRQJ)C6GrZ4gA(enMS z7r9dvRuKTum)(SnrpH>|96-J%i2u`xq1u3M_*wCuCi+!>GXG9Eo$KD4#ruG%)t@3m zp{kKeViEq;{<`S4F~B5EgEuzvBtL9^xeUV z>e<3tSD5`JZWKy+anqL#4*6s?^?7m>DklZ5TVKUzv)vc^lrTELe^ zCdBdqZ3o}2&)PzFNv{q8wDEn>E*x#PP;@$4ZZ9S45n(vBU&i0^8Tq`)(ShP@^DEfL zxX#r($;{uuW>8MVv}@h0l-{8_+ta$Gun|Ue$*WVxORa(Vnc$q{d+Uj)<17y zUjagvkoS)jF>T%#(v$dy6hQiwHS@4P*_o3OQ|9vlFhL0oo4}+&037u#Y}@&M--Wq$ zQTpT+fy!Ry{Clwn{nd_8PB1jEkGt-)J0=$T+qSqb@Ii<>QbnQE1|jgVB>+)UhkEQh zdirbS;`E!tr!|!{kpb0Ip`QS(m;M%EsqWy#$$~j4NxbyE#0CHm%$P3n@0DCU{ck-X ze-~~EFbZ5zhnsoU3%4HGK97_H=!G9t*6!mQi8C$Ejg74cW(g<$Gux`;6Jw*&!?IWb zIC!GO__LeWO78tFNH;(Fz{=#T!#`NC-w1C^7PM2_(xB!C3i_9RtOUz-_DhqESEodEjHmm;a6VER+5W2VNKV zgn&=m@`3o44S=C|l7gdF;uMw(>0_Cw;KT*5{hJ<`FBAAlfYm$ zJ5LFS0t~%6i;k61uas(@!}tZJ&Bjg&8Itm*$>6@Cgj%>Zt%cD|C=crLnHWupz@!|g5Ok2H+YqV zrGt;sxYoI#KT2`Y4**JIY+s4ad1)Uh1n?8e2!8&)Jyk{AqV~H*xu&F%OqHbi9RsI# zd9s1z4twX#eg}b9`~lKJN|&Z}!T}Bdj8kgl1s%h*D!^oYiJRNXiI0B80XCDiq0v8O zT>xe6;~;b|6D_vGN$EH}$B=VPFd)IkI2Hutd_cEB^u#Ovu(nQkqA?XdUhV)b)RieZ zOEh-<6-P&3^bfnin#ln`n!GpZn|P=!+P`QDEE44SIAAjRSJc?sH9kPt{-=3rm@D&J zKJG`vv-k%DEEE6%jJl-y!LkdgV40TB+r}Z^ucz(SuaJqlFMsQuUH%{E=pTZt`;|6* zv&Dv0v_z9+)&H^)rcK-MKhnsDWIebGe*tiZgZr2KN&bBUesp+5mBo8Y?H|MBp<$#E zmUpcTy9|Ge+ViFYN!)(xtlrNq^9TXc$K3wU{HJ+^<6k)359tBWwRUSGSuz0J@SnZe zwdvRO6`7Ge7NVA&Z=bOK=b?{2FaIaLg|&vjUt|bb*Z!W#rGeqUnHJqAU;eJnJq5Yd zsv(&fK$h!)BpEtzlE4d1yQ_h-S6=ph=D^$vfB@Xa#=$`~tdyK)NY1R71}Y9!_4m`& zf11jzmX?+}COVcl>-Omt*QjvcDJOw-RpVF`zPt0iTJ8$(?=C?AI--c{Y%d^g6eT<*&)Va z4sAZ`{>^3SS?X)RlAqMyaqJ`Z)BB%ENe`1DvTOip3HSN$NJ)bKJt^s$B|t;6za%Bi zrytD!pOBJNntzj$ymSC=@I{1JEuNb5O+)@?9d`;sS;U!d{Y=c2DJs^#qf7qg)TKA| zW$cXs!xt`QP4#X$@{>uqv8Y=G^=>hnK5It-mERfDK~W@_g12dVwbzl zX1v;S|C7!8=Z`)h#hT9W1Bs$ zudWolN;{#({qfJtFOMtut;KPM&EiBs81TRbrTqX`S{SaGQ+d^Z{yh4jX+Qr*)Z9hbk$rau!xJgN?+Mekb)X|N`&0)s@=s6n?lllv z+7or|G(WrCINDtm5Tie1$9LMe?CjaPV5MDx4y-FcxhI_MsmnOyoAq57!xNj3t1dpH zE(3kt-@d(daB$!^H8q`2|2f#|J|RRVl&WYJ_$}=K;+Bq9u%+8!Y+gu{Gz4GmM{Fj(5oj;kb2sp;tH zO?OjqQu2&SP<*8C7F;f@U-I`{@rGGr?u@l@g1$EVns(}68q@ZywB$6wm9Xzic9Irw zXHeAY1k|r4+p;U#ujm>a5oD4jzm#3Fixf)Vt_tt?@k7D$bZ;p;0a4xJv9~B!6u#2-T@Zun*#pGrs8$tVSdER1-9BrZ-qf5a3Wc_* zVvOR%&}@SO?sW~r7u)MZJt&PqukTX9pEN9VUqS0;x;~IWYlOY1TX=y%=XL6gPdWIqN^XgNehLGrrR)!4=MJ_1%kVHXz{yt=EJ zQ_BD)9)25q`t3cLxtgwS0u4QVjZGv#c1s0#O{svLo`!>+ru0S?P#E5x7#_CJE-m8L z9QX-XJ%UO`Zn-;vSAw}pMNu(A{N>`~<*SQ8N-;siuxBq|gM#Zda5(}}TU%v3m%rU` zQ}dbri93ujZ1mbR9-J=P%a?Edgwa-)PM9j4n9w`O*bVG9=JdxtPq)dgZAeB>X}WyZ z35(#$GUy)iy6x@!OC};)02U^U{qzp`e>!D=s-Xp%}c6pI+0j)Hnp_f^J4LK2)A1Ix}5b{xbip$j!th6LjHs5ULMa9B3)7t(_<~ zt{cP=mHd+EpJ4;wD(CR(v5b&h#{-?jyNrx=2RgA@4HldaU1Re@pJvGuyeE3BE_+ek zQf9HV@!h_Qm}Ak2o?QCy11Zrohd)m@Rk8=vYlCUxnB96U+nfFS0)NVi4o$d_75X4|aU^eJVn8rno(SD5LcdJ~)8w z7TNL7HcL8AaNPB3MuJy}R=BA_8Ws{Oug7E5?W+iK-D$g+gD;qI z2I399#;!A7^xx^h)V4~DJyowpg7%kY?dTYdF=lj)62StW1n=1xvpT2Mm=YNSLb8Vu zbt?6;HA6!gb3@R44g;@?R0}ZhlYZirvXru55MLFpk7@!g`-qy)lr#*DT|7QTFP}P* zhSrGr^GMs39$j}>IEOVpto=}YwQ*)b3=uUQ(OBZQ^UDN%zdk)}7F8X0*d|LWDZ6=g zzGRXeyI$Yzm~O>VuTnc?ksfrnW`LaWf*Rd`_E{n5m*j0xJbTxaDOSeinD%h+#K2_7 zS9D?bmjxs5QO7=vRW%IoDNeR^*hc!#+YQM&6_%C>#O&73Iu@KG*WeH**UGwsygM31 z8asYvlrZXPtZ{+T!C~^jw3Dph0E zHZdtF;Dkq;Zo)ZiSiT|TBT;f!SJ%9Wm0E^`%{BR#tqc2WeJ)Ev24Y^-WSUs2j2aLS zSV#ad>ZHl8O*#dH$=YtNuS9~snDjk$@f;7<`=a@3RK~N0nJAF+R%&`IUY!}i7(BTnSjW&(y67Jg(yg9;WKSbZkY7;n^U=X-y!te3$*k;Zcq;Q2 ze}-nS0%FX+CYbvxESI7rsPM>v-eY5!`Cu`We0qJ{0|+SEKdr+AtGEe>uY|}`#5@qm zB-ci#%BPRpE%S!zzS&#)A)==ei9}R(((YzS_R`bXjEoHNQ15%Fo2N6Mxj!K+~W4)(mAv`{n~P^LpokZJghNys-Q;4|+0w!VE8*gSasc zhtwiW`HN?>LsbNw>hma`gN!k|1+B}jHK)k<17vv)?Qhf()5dk}C%X6+J(x9zN-3aV z{Wi{v;0flfHRZeP!|YOLCs~ezI*a30rDu7pVO+>3+`Dj&>jjSsun6pU#6W;+y{i`T z6RUKoAXal`AEVrtzX&LBTFDbE=V~EuDpuGLOg1P1{*n@C*1r5V+xZTA<2#)6!G(aD(eM;PJT@xZE}~4$&}$ncEs`6;11W-R zRmDWxob?EjrmNbwFcGhbSbd4xC~;W=bXM-bs*(o-Q%#ikji}~S00sDrfd8h77UfRKo1|u5w|M1#03O%mj&&H zn02e0RUcqiyXvOevSE2A=4D*(W)=X6MPknoZj+t$@ksBdBZ#qpf>ga`Y=t8h)}-d(hLl$3n%r!;M)lWik>)0(m%y@ED!7&Cr3}s4dsfCKSCA4jCH6 zIz#-vq`@lkyNm@GPUv=cJ;e^nQru(&v4SaIz7FdLt2ShSV^VoI2`A2Tf8f_0hanA< zNE0hc-zE()2*FGQhaMHa>X~obX*3rko)2pCyEqa|Vo+|Q3kybH*jOZVc%7ebf-#%9 zcND%SioGp3izB{%(z>z+$MVIhxaq)|`Ler9GP{xaB3jSi`K%u3SDQKy%R!b)|arIF$+R_hpzO8zH zoaEPe*m}R@!mpd?9Ki&gJ>9YP`Ygq;7sA)HZs(fxIniXC4Ng27mIia#I~n3tie0<$ zRP%tH>K(Q$P6s|)YXTTD2W)O!edCaGWV>=L{Lg`+S&vdahHY^65&D`cKf48o{boar zT8S}Q`-I9T_~yP8(6m+jv(OCoM;p?5&!On$t5rF$Jml~}m^(uEN{mt5d_Y|gcr$p1 zyGBzfo#ZWhw=_7XJud|Gx!j87&si&$Ey4VY+jfi+Va}cv8-DS@b-6VID2$#v-Uf^Hi2+k-d3)VCOPA=Z9IxPIN$QgzKYPif zM}{xh>Vkv#OqorQ4s(c!TqM+|drdx*I1{6EkX^sRw&Pzt7bkt3Oxi^B!e7c5{FS{! z1m+(M6)R9+b-1n1wmliig8P6^vWH#tD9B}CI&~w*+T4!m(|U@sk6^7Artn55UT{Gh zZ9z(wqi~2Yd1KibrnCHNN^1Cbk?C(~5=o`FJ4oBi+NiVBP(y(`GKdCOoSRi<@8}-giZs z@0u7~PGkeKe}tRj@k?ix3~5tB{T;wfsVpE>?NQcJwaJQ`inx!=?z60!?OnDFhy}Re z1(#QvogmbO!mYNe$cHCEDrMom0eLlNK{A&AX5TjH{?&;#G@lsat7eUSo z&GwPp4%P8+Jv#-j1>vgk2;GY`%DZz;zBBidCUTAnI5LbQK%=cBD>&uuz8i(vYK0d$ zq&_{8CDwWj<%i>oXcAJFbW5viO~uAM=yv2mVZ}aeKVjSXl^#9#91m<)IHb`aW1YPs z{F4Uy3BfghXbYTbK1Qv{FGHU*vl%M}%;{$tTv(cPsNuPuBoi$Y-Ew#Qp=02C5h`R; zlo4I^9$2(Obp+uk7reALHY?-`-?LxmD5>taHt!&7ZzSzB*(P#6Dgwn{%?Uudrmc+n zSIY?Jc_Q}Ir;{voSn}4Rs~Ao)PrFJ{WJ)^NDkgodRiMfr;#;t_oa+IM4`(Vqt{MzH z!!jy<3flN4o$0A5IJ|g3nZs+CEMMnWi{9h~IrgXbbuAS^l;3P4?Am13Lc_0bp6IuA zExW}ej2D%W(UK|%3!q|DB0r^)pJ%u{%!Z>&hpG|0K4USAef>q~N#%+}r6xB+t*A5> zh*B7{_cpl*Xf&-5Bl^}3M5qV>>ZDz)+Zl5Ft4X`K99 z1$s)DGt;|?U6jRHTv_=Zz5d?hZ~(1$@egqkkCuf(JgwxBJJ$mIjG0~~ZYqSZ2yptpI z!sNu(N7U_Nu@7H|b;Ivf9VO@1|{~ zeaNB}%?Dm-F!&T)C+6T>#l?8%7RBhQk@a^D^I5)ie93#Q3X0?GQF4xrccCOB zBR3A@)ds0Yd%r{d&#uKo77Toxd($?lLwf}rnRVY=6@Q_Cu<3hSmp_KE8aR6B^*ozB zyszu@CG?vt;p+`m7;5G11H3C#`Ll~xQ0DYv60bva495Ly-e+nL(HH+Q;)F?SJEPm3 zA18G6oV_S+bG!q0%gpaAJJueJ7HGQaTiaO`unpt_u7hc7Fq;b}I2Jg8@D8N6Jqh~}A`9cUqMn06*;fMu$`e1A(y#rYvMGyi7E7h7ZTvHM1M~K}r(rttCh(oHm@xc=w24Y>|Ek zx0?;{#GL=kj*HFj%s@Gx5=$386Gtr%RoyYt-P4b+6=PQV<1wBFY{U#(1W|DGpv(

R67#E+lC#t2A?Out92M)u-h$pt^`blNxN2e*>15x_w zlX8;h*WB!_6-fJULRCgGUPEv61_rMaoY&IVwTuK4QCm4Q{iNMbO zB>*K59gJ->?VsnF0sBp4awZ)vu}$D3eO0W9Icwc}(DTCwLWlLo3D~2i*UR{RFRwA! z)9L2iFWuGL!+QT(1mc$pX?i{#sYM9qCyu&Aq@8Eo-#U$|VyNT2h{HR{I(`$N((z+q zeF({j#}cLmGJh<3RwrJtSi$_4g_ufn3d@>iL2BbkvF!vbCAp+spf!e;+!)fWU!4M* z|AWSA(`gwjd&b#tT=XEk<$zxJc-p+w1(i|-N}{2!aQulC+;oS&3);A*KJ$n4J5|;9 z z7=+9eyJ#-yQ#1I?WGTfJ`GHee-(dZ{)wt3RN5__)|GA0|5IR{*i>!9q}QlA z_sa*9SMQUFc792Fx%WJ&$@tV!7W8LciqGRUyECIgQusb&vuV>`=Iag zH8O?nnsErar@5!JKBs!^m8i6vg;iFwRH_l^Am(E0`1O9X9e3Lf(bU+S%B-ykM84_j zhtv){^y>lz?Z0nIj}@vlWLx*jR%K(b>)egu5*!~NUzNJCQ7W70MR@M4L#C;mVR7~# zNFI9 zON5NeWUa9sSu+VS#lJ_|%8&Yy-*AEI3)QETN5Bp!jff#G$91eqFV4@EBn4j7D%aS* zESj>`8-M$UL$B^__~5;QC|hQ`FIOyTe**tH>Wx3G`k;A>ziM+e(d@y2a@++SmO%p|zqT2Bd;7lFWAbzSbkSoFdPoQbDy7qdu?X;%XrYWhWP zAoL6zh@<#U+;=BklpuH;ys4N`3!DpEp^5X=S$M`;hh;{D;U?gBn~!09g}{+bOYDbI zw$IVVgQn=`b;7)iTi3D?yA23td_&=M$~XbbHiBNjGEu#8v+5#?iM(ZZE+ec*SLQIAeshd8^X*zzpLY+02heu zXsrqIFg1=r+7SplZjFn??Y486G_-!7(fuSYQS#;DYv0|H?tI5QQ@OsM-uKo~CSsaH zc}9u}ifG=$PID?j<>iJd=RP5@;J zKXEj75JUx5sOwjhMQOV2r0Gmvj3%{yE{O3MW5oVqOar_s zX#ilxs+TAoRXINOh>0gG8#pO1|R?YDDIRNYp%Xp^vux>4E-2{j?Mo zbpR(Q!?dRbq+A^X(o$^SxGnA0df3)zkI@N$om1H^L#g}sqBG|2snnz#(_Ht6!p&9{ zaa4#GjOL}?L)>9}3NPraF9mV`tSo}kF69N$#3{Q$z2HJe)tsCo*^=WG59qzk2zCcm zgT41H!S)kn=DTxEDq+%8C`!GMIC0B9siSdiIDmSnm??wN)E#sDtUMb=bva7UI!SnM zeQwzWwao^6;Wfb?+oUnpGPH^9KD%d$a4$O(1fRV>L&4fI#lz3Llj^5w^oPk^t1?&0 zu&6SmREy${BZ_DMb3xxtP=lzmqN3IW_q9V;#p!92^&=9c3i zM3MPL;RVA)u%4y1Fr`1aq@*O9Y1MbrFP_%c-!NszKtMc}(^s{K7Rm!j6R@GL3(YUL zIHJMAmX~GSE>^W?mWUM2X>uZ#l9CcXu#N}12{@fPkW78+^x_ppe;s*Ih$x!ZN?T=T zLZZ)F(}lI%*Zk+Ut{rc7sL#wyhhHnZ$7e2!K1!ciq1IDgKh|WXPVh!(U{?x?Z^Q$~ z5LVcU;62IXvK)-D>{*hmP&6llNa$DJRMB}cx1IV6-hs^}?2EyRb3;M59khV3{f>MM z5Uy!$x4*8%1@KBI?t|=h2^deXWh;(ruIA@<@NaP-UQbd;U}?)C&iCFOd^!U^4`ZA` zW03B!R~~=2>8B#UOlZ5;O~c!e+PYGQ#{k4BV_fGa75+2Xkgeeo`L_(PO5n&ZDv1MM zzkYmYEs+j}BuGLwVk=H(r7j+^(bla`RF3H}A9 zoCLI6?v*<=nVZ`H{7z)A&@I|bQH$u&7Ay~=JC%ADMbLgaJyn*HH2>;n;Q4qr3&HoE zXA4zCi^9Uf#}_{pF51T#w;S}q2k^JruL6$GtKhXxZi0->n9%uIQGjsJ&M@Y0CXMyd z7s1v6Cx}+!1RJlG?2wTC2^YvJ>LeK}yvK-aKAAtJG7j}Qp2b!#J?q%cVZ^*S6ZMUm z+059@ugK~v(ay@-vErTTc5VD+7sK6kR)W_A9S_liWfR@rP~GFa?BB(EAfKt^}*{$(3N}(OFVK zVPU16wr>4Nbd^fhVGe}X1*Dolw9gs&@P-Cw`&Pu`oL|;Coh7Ma4H`Go50eu$-{{y~ z=swW~v~|b#hv(TL^*KSMLA&xvM98g( zubr7h1*u-f2PSW12L0b1nLRL!1JO`1=r(=9COCKJ1u)JE#2#nO*cq9rDtZ^U9Zx$| z#GV1C`hd&LY^D^pnTm0sJ8Y!<^8(M>rLGOM284}tHaLjrW}-6dO0C^$8NqTb+A~t# z-)h*;0{nSnCtqamZnZ@EBjtG8&MO;$^bkn*hh@$C?+lxKN?J->V3>*8qT27~MR`_A zl=z>tNBUte+3F<9uFY3QobMs0?dw zaJ50C?6{L1+bFL)1#4M_8lyXpi~F`-nbtZ_y?5}rBl5VLuC37mo;YjUyKr5&5Kw&rmwZj`)HXe*rI4YiwlflxX2V8wN-C?%ObskC<<|k*_JtUJgwIr) ztK=Hsk#~;t%2EbQ!F&bvKh%K%14{ztH|GyKiew)7C&|INeZ9TgaV~c+D9}kG)cJ!N zv9YnXt&dk8X#)k1HR>vV+@D+iDwuSD1E#|L_QW}wyrZC z@;$E;f?Bd)I3@0%cJpV{eeR2=MKunf(Eq_ox3m)$U@)RTIMgh*%D&6nu>G*Pky{ZI7m_G&dxD(EKxxw8l*YVC84D!u)Dr zeoaebv=KNe@EdmP{#M(r(u#jSE?ATA(*OB@Dl<2>zo*q(wp4>3HnMb3tUpf# znJtH?6w`;E@##oTyPe&U@YG}^f>t*;;L>Brm^zF)X%B=u)&L`>bP%G`m$&%!r};{P zm-P45tDl4EjsmCa>UH>9ZCgvATJ2i5mGlK6kdF?Y-A(zDVY2z^4@rl!Ag_4A8MC~P zc#hUljEb&3D%iknQNf_b(Zgv3Zz>FQR#^hwcZ*{kAlBke3IVf%V)RFkzJ0f&(2pOc zsI?!-c7WY`tXh0-w;+r+O;}Uq+<7z@a8rRj8jDm?vi2^8RkBPD#}d z_4-rw&fG!0jGc?+1JJQDOP^aO=2h+S;jCX6u@Vw|* zI#@H@9|V;;6P=mZH$@xT>-_QTqIR5e_9$bt#)r~*6as_9`xinzW|qO{F!xcnL4cpu z576)J+2(xOo+wRdJ3BIGS(MT~mxk$(JQCKvI82fiwjInH@|#jH9?N?Sk&_t5a;f^O zAI?WQ(nSYpu}}+lXv>xg+F=LNZiBBTqg)EGi3rJ~kEx*Y4px`KJZ+Z@$C}yN)31QT zZF}_OV9hqZ@aLB78O=eh_(K4&rr(rcEv>Xi^9}ky=xu@c>%rv4k@4lxq)Z9=B30i~ z#xAiw%FwIf_-+)~qzbNNTPKMG1V0Ew3d$0lStY8KSfSr07NiF@oO&eFveQGQ!wd@M zwT?gXWS(*kZzX%lR=dNri%+ueB1U`)qrP0NLCI5T?-DCaSgEB+nHi6Ux zw(b7Gb;a@@J9ArE&U56{!h|xFh2Ka7>&(E{VM|L1wk1-j83ue)LmH~lZS4iD zDwYGuLZ1>=8w*>%8L~2R&R$Q$)C(?Scs=#`pjMoLXPf*-Lwm9i@2x(mLMED3e7fN& zZ|s4+WGG%mwaI3yMKG{M)OR%d5Pcl)s9RlJ3p*m$wp>7ti6J;90yN(r)vu_}6bH*^ zdlyXHckp~FZ8y%(Xor11xqhGQ1nYj){DVR>C2U-02rNXoDXy!`*-&~TQB`IrfgH)$ zLXE;4GIH%a2xZ_(yv?RIS-!0BCuLAvk%9+j8cX4aTnaGqdL};J$Z>vy9nZ8V>Rx`h zL6#2K8|uFan%&h%KT^@3W}6mGwUuwXlOZ(xnoTp*p0q{A`UgnZ)lD`S?(Vq^z3nqh zhHa;#P0_sO`BYe2r+(tb+s$Em`D<48S4f3P-#a?yQ{>69eIkKmA)+~o>p$Ll_D8|j zvfw|{Si)O)NQttM;=uwZ&r@k5pVRmyz4n+SqLrAiTAg`j`ekE10j>UnwsM6uePAXY}TLf_$Ct?1}d|PQ00zWLW zuZ?E}HSYX#$R&=bqN6*#+$N-KMm?)Tn833SPw^y;(_iM|^*ZM)C#7Q>epf`TdYPc{ z(&R;4aPdXg__|RX*87Ja?=qpWdM#|bR(*io>pfnaEbO`(b0>FBNF!0I=B{qKmCOzX z*fBo+c_g)**%!tjowOpW@UR|qFjH(hDk$af2Hh&xI=3qi=sgXlr zn=?YucD}VfIHBbY!zBGe-%;FS{*-}V1^Dj7 z6F1X-6wtT#f-x#fTn`qJ_*Ddl5NV!e=5y;2D))xb;gto-<9BLi0t&BM{Qi{(S)Hka zhjvZPPf9`m{r_*8lL69g0mC8-^r=0X}DaRdOV$&jt; z@jT7x!0~BM$uM9%%u#e+p^QNZ707-Hegq}yAvG_HW_KEn63cmTbu22@23QSVX=RyR z&>m!Id|4EZkAO}%!IN+VX(8yh zf8bnh%Q>unyWXw=|GuWY*@^p_>DhmLbT@x{=Ki|;j7+mEnr+L7j)jHg7z1SPeBrY_ zY01gOg$%+y_c$<1*s}3)CQs(%$cQB`Ux5;}mJU0y89yWLDh0RD+l0i#>7vhw-UBr& ztDFl-UHyIn;L7VF!(|yuB3m09n|W#6t&eYgWG*f$>KlhbZ6G)}D+Uw1tNGWJEM}EI zic3lcwgHs+7@PA#YY?QdaoYGQ?&^o9gA^kC{FXo_X_Y5WLV-QcKK$|m(MQ3z4t%lu z`yOL3m|b{81X3ynm$*tHl?8YGai)A4i|b^0$0L9~Qf%Hfe@x)y;vyU;gJK`JiR&pQ z^^ep}m)=TB_0^3?+`C>cGhcS%<9CDrb{}~6{r>>lBPlL#kN$T#k`5 zb~sdaEWIS*3*5_X697vo;Ka2GASmHL*Mhz~CT^}C057;2!Op=^aiXlOJOI$0RLsr6 zGz<*22e^kULyOnpO{rqBL_PxEm&Lx*1{r)@p58fUfAEyFr3~g=g zCxkdL;48{y=%j4Nc>`%_Y5M{0O>+aTI6lc-+D@V73!rkU!0yU#BP&ASnm6uByYojQ zLhUO~uCBEg?MBAN4&j8rw-$PTK74z#9e2ZGrTEIq3U$kjTUPX=x|$ll6IN|74_=X& zD$&f$4D#{4sRxGSxEuSuvi=fD4HJFqNPpZ{$tC~a`v33YAtJ@m4=AiGEOiV zaQF4|M5VGMCMKo=9MLJJMD@!-jv!|g0a(S61*N6Omx9>7{m}@_7gie^OQvmZ?&dU! zd(=YYPs&c6_!?mRjOido6%TZ-L1?Ri%oYBgmzR&DNGyrWZ~}A90VkXj*0^jO0YVI< zKPo_2Omin4?lu$E`%i#S5qVPp!`1dB8Gyr#SE_K6%u&riPtP`% zQFdqG##hT7DCI8Cd%;ynPCud+A;FFB1traPy#>usX zUW%ZMOz=n(y%Nj4Em})ji=3Jo;a!aB7E3Ka0i98N=60nBIY7s&PiRt*xy=05EA9G48?VoOXl= zBvLp{#ASb{1&UL6p@u*oi(YmpMc$WGK2hv&))RpH0ZauIPtPh24vt@N+@tN=3Z73d z%ea~ImyY>cPh=bXrBkkam;0*^a{phN^!H^zVga$l)$V;vjNhefTnYQ~KWOj@`AHyK zpz}g`W21y*LD^+zGd1*3`21Rac7O{=B(>Lm4bs#!1L)DQ>YAFA`FS(98@LKTHu=cB z{VnRYEn=bs0sz_P%L)qxNJ&ZI0M}OJ_U+p~m-5b(*+ZdcYU%W=|B_NX5oe($MfT>iadh5nt**mVXH{!Tw zuAKc}&HR5g^Z(V%|9@-d7h&aqQqk7ew*zvKS>fa3|79n<&IK;umKY%63k+v)T~gov zqi+S?#>B*=SarvyH0`#|;1&(w95Fd6>c+076%gq`MOitThK7b8SfguysKmq34$_$J ziyB8H66r&aIg;4H0)&hAi37C^nk5-&UymBss>w<&i!RLtbl=uE2ig}P+`)llLP9{Y z?T|}bDE&zUSqcGT;IQ*l<#!;yNg5F1Ar)Bg=pvskDaJv^$0xgmrO#I5;q7m(iRf?} zRripPlG>134g$xGNPmXlQ%h|#vrNMwz!CzMfP-8n$m4n-XI6l5-#w{U!@vTZY}(`# zCUd!FsMPdOKp>Gs>Unv2KB)lReu6a$$LvZ-NI0&&YW%lXx@^x2-cM5%c;*pcUd;nl zOZfbG^7UGMDi8EU6y^jtLa_xx0Kj`bviQsc;U|#h1!ZM*0}rS+&Vhu-&M;noGU)O% zyh~F$*%4MuFhZZ7bf3rE+ zfa796fIvNfqjVfJKHgxrD6n<*^70xL0l>7yfaQTNiN#)8&C`H$IrV-azB2PqO(yMw zF2J?$$}^keRO)!r3X+x8fnQ-^&Ldq)D}u)(y$-nXw{t02iC*%g1Bt|JQGN|de_5fd zfEDWaZUd#?(4IrF#-J=OtOul9stT&k^xxu;p!fg;N13vXYb?W4Ue78>N1zb95j^gg7x@~H;1{%SpUg7R8R=njjKr{wLN;`JmzD@5?LSK$u3E1- z?nv-mO3at~|1;L*p8GiD)`m80{QZ~I1VbQ=H} z*pl57vj9RPso;(zw-5*yz?!_Q`Qa(B$GPI<)Ad#tpSN3f>4`cg@atp51%Lj~v@)1(PfT-jAhxSibA<|aP@IfKyL+?*}2VYRil z?*XDYu7iq2hVI5d=|;MwQ+kG&bM3+VdCv1azwdd@I{*FF zI`>*Gxn=K}y|4V#`*m&qbv8Z=60EMm!uz`H5pNOoy)xe4xs=Wy6P^H+q&$(CKdkVaH$-op%a0l($1iMAk_V~-+@(AeBD-^iZRJv9Pu zku!JDJ4QEFo~JU`$ZQY+ukeSvEI2syJ>_(5kPLi&v|a8*4iGl)oCL15;z(>hFwgm) zpDS|RG!eOU3%XKo1-M#CCpc)gFAf(P2d>bJxRqoA z3tj=3_^t-|SD`yivVR{O?M*@A+5MZlK<9b>bmsi_$QWUi_@ zI*7HV|6+qi0hC+}Koz*{LXR-9NPD#r+M#rHV+Jc6(h0r5+%r6E0Tx+aa|UZhNob*} zx4blTO}Rv~%4O{?tM|{Y6dA>T0u@)>bvH>*pROU;u(sRe6wgnezXpxtII8#!K3?9J zkc;a6eXPG49MgGh{!c7M^1Nlc9TXgl0-+6EdJRp@$mrgO_xyqLI8;Y3U=6%TOo+C$ zv@f(lgg}F(-tuFSQj`~W@QdvkgpG{=P_*srfZ7yj(AFFeS?S*ww#np=jtoKBm6(`V zD2mokIZ<^&*m*f>5KL0n#mw~9$uIAKaNokR?Qfhw=z*53tiRP=Emo2eu-gp|TWjq% zH_JhiJQNsyJzZTFMOq2puRu%J*vq7F*m!W`=eVXq%fqe>I`0Rs+v}>yT^mk_5ZV$! zGjy$>pr8v(@xin=!PXY>jDZjM3_v=57I<5;z&U|bN#I}Q?Ym5&JEDHxDVD73V8RwkJS9*ccGLC!6;rnzGyHq zY|cYp*vbK_>%iatOY{eXcOZ`uTJJK!2zS3-`}*~XB0xE46$UwRAJB)uhLN9lh!t^Z z-=T0=QZcv(eO}brn-8KIJAu}wwLMNm5n6U5xD2e{XzS5Eo(6VDT1v{TqF@}(t!HE; zmhZi9#j8Kb*hbn~L&jGVCxA9WQ&(3vc?EhRZ+5VXuw7>m_xME`H#DSUgDEKEtI%QB zyhRP=_1QQ%OZYS)hoA0)xus>XR|pf_8vor1NWF`kmik+F)YR3Z{rpHbw|bO@=b-uL zy}$u|Ah1xP0Zz%?fmtOe-ql;o;K6-!laanK4d6 zbBqDgqES(cLUm;JJ(=NR+02?|sm-{!ZuT97&ipJBu&emF^neXuGB#G@t_WOmeg2pi ze$s?{<&th#0%BQd>0)oDDsauGcxA%CK0=?<>y`bBcfP5>2vY12{8aA+;5}AIazUS+ zDU^t!{LT>6xjhJWJRYEab&l^Z0f*ZwIDC}?D4V7uFtBqE3D#tfw%Hj6K7G0;o`<;Y zXcIq~5XLxOoflyg^!!n1`AC!guAw0WBXX+?+*`g{z4^oem~+DktyV4I>|Iwt4qBEV z#6-DA|1ORMpID)w6Lq|J+5I~9&w+u*1*wryCbrmTtdCtc-}}4sfPBndw9QV0%Z}FJCqN z$zUB2Gx9_A6Rtop+`7J=A2)YR*zt$x-ozV|mI%)ODF`trahnY8mXntDT^zIUlO=%{ ztbqAR2rw+bmdR>y#sL7I%myG#P|U{0Myngxlc1OY3&}l_M!>Zh9@d$iXn2#BoZPrP zRKmVRSV;}Dq=C>%TJ04KjQk#O-e)1d>`0|kc4kgaaT)cmtnBPIa6sz{(l?#Qv^@$G zOAhaY9Gi0%h-i>gvHDIO4`;eSuEZx8Cr^Vw_{ga|?~Uv6b@hshi;#dY7OyeOUpgBC7z*=$MrhP%Ef3=-N=Lhp5evedFC4BhbO`-izFx>&7pw zflcE6VZ%Xaf37jqy@tXqR~z+IA8zN8kus9p7|`QpS`;ZYYC7+(TVin65O9Qj*E4EScDYa2J@QIuPH+o0kHa+8@c#-esi;u)AUS3`%zrV6Bo~F50I7YFWR6j%9 zKOF+M{@&DNh3DG7r@Hx|t!Li98#=F_&+Pjf zKl&z32z39q>5zXj3m^Wy8yH8&5oTZ?mX*1OK&?jfIqEok8W&Ei31E4DO@)$8s^+hw z#}+uSuz{}(1rrA=o!DO!)|-Iq#X?hnNu>x3YwhY+1;+u%r|&y2@PmLo3$Jw@bM;=cp>RVPF7YkFE4M@%5d4Rr0}(%;Q2?7 zp#&gS{|(uxE%j5Nnw)1A2h4tB4yezYM`el zG{cKv$F{!o-+!A6D8^x6vz=}Vad&7mKP4TM2Bk}g9zQoc@5{Y?TD6YbD=4xqPfL8@ z8o^GFW>r3;Y7623ku}HxeV4&HJ6?W%0>5Q%{kcsr*#4FNntm7E3QSyH8`lBLm4zv9nX&Y*Zs(5+{2*`(1%MU- z*Xf^3i%h89Qg`aGBbgLlkb@Ljf{-ORJy2A zsWO0<(+Yu1+u}eT5bRV?j77Yo7P;Tr+4&JrFHaxa+3L4U-zoAPIA1#lZh;Ef9m zL_vHaun{}_{&CUfN%3fvOAG*JMq3S+MuS+nn*$3#SE7;CVC5g<;^gFm#t%rMn!I}T ztNpm4fw915$*EV~8RGyEFQC!W1`Hel79C(cQ)okP!96^4?s=9!$cgf#D<$mAD)55` zo@qNpy;V#xL<3z>P6y^93Pm>@)(LIz9W8 zrxBQZB7*Pe3)Vrf35uzl@0`ThP{$De0`q2h(-Pz?k5d&q24-h2liU-ypPU8`g=-4d zDFo}|UC^cI#&&6HzI{JhJ?*$ot z>#Hbc$a;)gka}>O=_$YTcPRZ1`L9C>@d1lJq4h_q^vds-ozR!aN)wBRv&6+?~i@j9=8QUiuRAi)xFzweC!2g^w zl~j}@A}RY2Gqj1zWMXq6+-rg=e>Hpzo ztd8I(bK8%^kN8LEnk%Pf$#sOW{=aM%bG$#@rPb|Yh^Z$aMxKbpRh*Hqi*(9m-9#UqX&I!_t!FyrcI%5MPqF3Untk(LmOlTo?swg(5Tv`|=x?Q(Gcsa}+e@#5l`$RH z5#Z&4A?vKJuD%M)XUXO*mpi4}B!H7v0WxwRNse29u%9I*C7!j!q$KCyOkhqy9~ELY$CYZHXAu4kqNS6-k~mn3eoU*&xu;ib_DL7iK69PM|4cZL9Si*Cf084&6(O+E zXaB2j!lUK4kuP@LjrWfKdv@IrK3_Xi`KWRlapz&2m+%CeustwIJ z$IX7QNG{NWc0Hnc(2(Jyg8Ys<*OC;qDKuUo93C)eB9bmI6nQ7t!{oEVjo&IKOeU)> ze0n#Y9?@ItPaM_Blc1$E!&k^0YuN|LxO4nuRLe`JLh*+(p|j=zv&XEq-T9h|4K_dO z+i?9|`Xf8$B13ME4}9TOCX(R$x|t5f+*_QV(K?9FiD;&)+#9x>b7Y$<3_~f!TE#by z7rbD7tdhSqs#~{&$gA*}6k25R#8MvFIrN#}wa5;=*!KoYt%fa{FKdWwC#f>o!`)|0 zt%swnge>}ta}BDn7S?02uGRxZRUWyK%F)IkB8CCB-|v2Um@ed6A%EXuitc(H4gyyW zrXBIy1Xx=oNP<-IS@f5K1Ho3J1KwH6Wi=qIt#`UI`|LBm8vz(?^cgLt&(CazirI=3 zKxjwg)w*GqZ&j{tnaM_{urXj0OVdVy^XQ!gfT#B)R`6o#%k-14fC*3me9+oiL={kZ zB(h75m)RJYR1FOcjR@3X+YB=sn`EXqRLx>~MB5*goSPv#hk0Avp0JSCS#fb!FHX*` zOnYt}9QezSO2d`w!SR^Vi^Y_V`ia`(iWR>KNx4cCjYj2V+IScIde1?ffC2ue!|IpF zh}^2@V-^^_?2l+-9Nu-Rcz<@SRz$VM!EB~#)WATFhaC)k@Ucph+s6uQkihA@&t3Qq;6bdmxO6pEqfWvS5mlLO zN&?TEQu5Hvw0msGwrz`%9xnJwEq-eEO)v03b!Q>n6r=0!PhX8?y4*YB0>{5PRjPi> z&=Cy30~`?~s#zIE`#ThISu&1@?26<44BO4GmzDMg$ml-stI?~=P3pUj0J@#{na=YT z`rpYOR3KO#eGu+c5;Mu7RLF6=CI)Nl#!Ozt5QhCM?D{GQS#+PxL*fp{@rM(A7QP`$ zm{5V?`&fX_o3?EFj<^?4lblDKHkSSaX=~eQu#jKPYhH`6v}bVFt)o`UHE9@Q8f7F2 zj-bJ;s4`AYr#qR)Rc!b-I~{%fncjJ?*8x3vpx1P>GFCAnA^6jn2rxj*kzG=rNt;5e z6?AhW@9(B0J{zW`nY%(WWJ2-=H@0xvYIWqdSE}76Td{$#MwU|#7PFbJwkW?n9$$Tz zXWI+^r6IP(Q|L%+_qU66dn^1;FH^Yz9_MRl?O}i`Tl=B6FpxT@8(GU4<*r@KEOkP< zH2Y_%9)^yrkAwBSGEsQo#$NkiLKQ_>ZQtR(JW2L^_!55U9emq2x6UG~gE!`~)qX;a zz^;1ZJB{km(`x!~#&N42-tE!XJR`hQ>PgOc+(hxVU;R6Nl@0y0rq#LE~9@#EqWtYfLkEzUi1iNFt3kf8*yxc$kUC7V3 z6nm6{U#xQ(*TUZ2os~~eR#YrA2Oz0efUJJK zuL49`u#H~4Vo+4AcH%1M-qo2Ki(JXsD;=Vn3d~$9fy8(FEJq!C6va6)mQ5_Mf&0Ft z6`gh(`N{Q@6j zYWT&9ruvsOqNcGtsWP0W@2)b5zEz6Z)jn~MDlG>^QF~6T3Hxhx<4im)GW*nREHF1P zFlAaY0%}2dNKq@u#VqcA+0krxgCa%5V@?^T9E9a<4A>8`ko*u%YgTgo0c_&Yn%%Lb zfy@~&b_+Ge=Y$2O;5ZsPd`Sz2g-P3=g z>(3gf)Vj*sl*F{>g~U0mu9SH+99B^V?`I;8)=WtAJDFt0B|_chXX2ut19N5A8F-XJZzAQNPj;>gX?t1Li*)y_owW5;WxT&2!)Ww-li)g7~(T#(^)yO(GE6FidEoDP0alfoTD$i9m zb7WJQNuCJ%>5O$#O=RR}>{56x*Q{C%=<{T1JPw^*3P2`Vxz3@D)iTr#Gf@2Qb!7RA z`_yAH3^sq;x3#MOP;yPxGkycR!V-)%GQpbFC1W3E66F{@pOcOCC~l2AUAN|$4FVt*=15MWoW*=ic-&qQ#EP0^^~-x>bQ zOzP){4P;mf*o=u9cq$9+>5k(x`tN^`<*V<0m*gA(BMKZc(2*1iVy_#VZ?Qi*$kx0- zMU|O|%6qDvky3-n89tk94*1!CPj*E!Nl)`T^*QQW9v=2J$5^r!D&h8K)e=3}`aAMi zGBdUO0nw6sCB$jOEDR6gBqGup5!cA#KlEoeW>|%KcHVtfJwMx#uojZNac(VT8ym2i zQrgs?H{-O-`MxNd0~Akfh9n<$&*jypUl8${`kk^e5YB+CP$)fQeYl_A(T#cR3x7bq z8Z`7!x88V;z-LSR4aRwFwp}~mvl%5CC2i>iU^&Q;r_<$P5AP$ylP2Vw)Ly?V@an|% z?0w@3!A>mBmL1xCTs&}aN8(z&oT&$D+YU`up5QBE)x6bj+|zpy8t8MAT5XdWM((6D zppKwUUXj~EC-k)z!aig_#jsBgl0C;})Qi}&X{mazrwN#N*U2(hf|6FyNmM<5pjyN;<-TgY+C-{RhD39>npV(*hR`lI^_b_wsl8QjUIDm@Q!l<-BnV^d7h`{)jXef9SYYl2v_eXpYp_S!_N!WEet zLvUn7){XFWQ?rJe!Of%b)>C@U*7)_t-{hykcodvN#5#P5VB2Egm@Q)6Vu*!-fv7OU zzvNSKH-3g(OYZp_Su@=410@sGvbN4ZGRE-dt7W*T-YoZK9%@be9{zIl^(QCvx7GW^ z#pwB1)IJWZPm?l*Io(}ut+Xuu;B-gS<>+J8VwS75avSn!n{VL2uWBKeex!vfp^DdL zv5J4b4z5S4aaCciBLR<1P(oa#3P*UOnT3R}ss*J{%F-Ka&N`JSV3wPs}*8xs2$#Jht{;f`!6kSZ`i%I8v=d{`t(_O}1Am z7tl`ZN;6iPfmA<4clB1&h=ydSD^rpaiil4jzXLj(rb`$mn}SSjv%rH|kEU5>|HW__ z2w)8K-lR5q^-Ay+f3)YG)4>NHHj3D5!k^PwhZ%$O)k-yv4gZ-EIiC!B}t=gdy147ww`4kj3SxQdDb!? z;8dFCRw~Z^5a8K#?SyA&lbPjV>ql#A*=-e86%jwn+L&t4Fjevmmk01oz9)!{mo3_o zB123MvG3l5^RoW)a=Fu)17t5fKbeYwcQZ_Bl#F4$&}o`Oq?Qm!^5xM!z_Z~t{=Qv8 zjnz1dTY{S+r^>x2kBDy@E%A`0sbz589GHIRrTrc++R{O%cX;iBd@wiVYEPK*}lhG0#6l9@kLkd}AO`_Qb|y3lO~GW<2I+=igkLIlm`~W~vH$9>rJ=iW3)cR}ADr7=(spT1(3Jc!I*dyt45-=v z^iaMjbpR*ZcorUPql<{khw_FzExLCQRnvt;?LN)xsl`&u2mUc3rTa3zM{q@t@$opGAO_dk<*?$CMvY*Dx^JebwJmJjjO8DMq z;}KXjyV#=n%OIq8OR3~y7v0Gk4Y%^dY(x3Bk|}3f0XL=cgdnnL#3fU{OP5Tq0??N< z$wQLxEGre&``KM&r-{2HzOi-`lYM}_&HApipS0pJN3nVE63Z@8KwQ4PP$)Z`y%O9) zEJzOf6535xfJ!XKnpNTd+@cWwsQwX+9mHLsP9B0WIAs4hJ8*$|c)1r0#;G6BWB)E< zb&C0NxM3Nvqb#qRIV>8JNh4RHOcvj3*@YCa>B)F??glh;M?Iy9zv4u;uN(efR^=YhqKTgnCxw^>xU&GxMUooz$e6ESAu`j&;E#RK$K zXb6(ot=9sbT@gjKxJHehP@RjSxRgw!8y1qAt~J;m^rF2_Z|g`fK**1I6&o9fxh*|# zdAC_brQcNEv6jZ+PVv-`&hAEDyaQK_M+MQITPhK=Zn{&A#%s+(rJT;R#^N^B8@iHG zv2>i&UvuHXX_h4jyNG)gr9yIiI{iwpAzt5S$V{J}`5z zO#Txbo9=*_P2O!%ZoS8A*D3;w}It~ zL=pwF9^x0hv>J0W0=B0DzdSi90wO+g%o3mdz^QUJqe;x${W60%(^1>Q)A!ATnD(@D zjGIRz+54D%RAosRoIZ!}%XXj6qU3)fAfHgSbz{P%Rls7K~!iNk^$?y7s9B zT030pH@~OzvG32%{+#R!>ilmO>PRJ+vvF)0@^XPT*Z81OD_POG( zgL&M2q)Ux~!I6mjfi&~zBH%`@9Aa`yua#WidL`Lk6fKIV32pf2%+ zwD7s$ zW_JVYI;SJ#pG<+^8snGSa}GKIhgSDg3)(cqIne9y@SHp9u^Zo<959;?8ZD?KgP5b^@RGDj9DW&RRbnn=7Xzj zOnv95dLBM4UTKy=4oo#WLoP$p-Jw%$;_vjVQd2C)Lr?koKJ1`iBfNI;2OOI(+=k&a zXP&1K^H0-HRx#;vBZrsJ+044H?Ya&3(#kNqnq7W=b%L4ZKB)U<-3jk-2Ikk##du9+ z;^*VNj$SUNoXMI<6TdV`9E5-ei|=pd06F_&Un|G)8%Fk<>#wPAGVz@ZP)TYgz44UA zQ-3g|UyoH{f4o)%>%wf+Wz{d-rv}rxuC~czm4`}M5L{4JENN6EwK`)%uXpn<7@O=b zhpOx7L|0D2s3-bD9=A1xrPYd;D(vy7h|{&@Mlt&&TWN|fR?$TEqdT4?BvJghz{12( zWpd+_F9xh+ON~TCaFF>QAe*cY?d|jh5M|2Nd?o84HQ}dVSxTZsQ%$-S~+<&GGqX zo>)`yUnq06h%OSHI3r{7d@5{KJ-UG|Co_)O38C3T$7jUu$Cuunn*D=LpHr7!iF&qg zS)Ro>>4-z4zA$)4*x#Y1W25gr0LtdhDo&=orF*jfh3N@@yQf~4Rj_;PcE;?hewQB+ zXDP{_oujDBHKHE#A{V!;KSeJpF`R+AP*5x0wY&7aj8c5hP+9Sm^e_hbbS2s9o#Lc- zQYZE@3zJC1q-&Q^hLPbWRq@;XUxR;?J5#)QMt0lN*7<3{9C#e-z}H@pl!dot%-Zg{ zr@n47_&N0h_6LZ7PT&Av1~8GT?#K`8hq6 zn5j9p1Tf~+coHRP8i!M5AH4Fayz9=?Q2ek=dpOxA*BWI3fNYz6zn`>`NQWSTO_A>` zqu28%zI7f}I_5O)+cdnRh)P`P-O*7D&@xDv-xt`9cf^-u)@DEhVn95@xvTU`nr;1s zTGPn>%r5NKRh>5dSdV2bzd7lUq~O>VmM=CBwusLD*+kL41+~aI4}RFY3}3}!50wupM?HL~)!pE{owk|Wj_@wz?Y_q8QeC`T z^O|q?*AFXi!fB{qI+2J3NeZMj6Tojw@_Y|UhHCQXpDxJSiW><(mDJG8C*Q7$dO~<= z5-kLP9=Jd6O6m@UqVF?fCKf?Y5%{&tR1H=DDlEQQ*Cjz?Y9O>^U9+^`ZJ|+_Vav z*m96MC+A*+`z}yBS-bAbRSwFkPqCeu-cx`t5?Q&VYcfgcr5Pm|CA(*6Gx;q@?9ONI zE63F-1+PYi26CG2?sPez?<9%SR@TLiu5rnw#N}SoiQe{)2G*`ic!&FvIm0G06W?v9T;Kk2E2P|| zb~A333%|j2SZpzH*9Dx#cS_!{a>D(Df{DOJzv8G9PiM4R{r^69f}_vyT7ScIPKCK@ zy_v*iN7HolvqdCG4RtFjmmJw}9!1c5*l}AVrqeH4P?hMN&?^88HgKLm8Bi? z4k-8O-@;vvo__SPkNP<-SzUYYhcpfB@glP$yGD*}-KI$0<dUT`$J+69N!FSsRxuhFfIN_xOpV{AkcBX^5kqO2=vsxd4)_NwTO5H zio8a^af{2fN85Q-yLI?O$;E87kB1|3;*pusg$qjfEu~TLs-sqAqZ*}+sh($?sL9^T zpXdN5`XQ~-OPkz{Bey!Lnk-@ z=kVKwTmiNkO#u(Cva;5?9>wat4ZD%@Ke^(H!qy{!ew_3%fHR;$6cBbt}+!Sryyw^LYSoM!;Z9d`;M$vE& zrAt*6zd=EwS6;AmIn7OB`0$}Z_W{L#OaE%z&B+zzB(0M3rSQ_A!S2l&)w{yy$#_8$ z>5>6=;q+*4Zy1(|(~oc8{b-O|I4@t#3Yy@uq@uDv}J@`CM( zG90#T+T6d)B^vcLvfb|sE+B!M%k#;aV5BjPR#~8whyR$QW2Wv_{{dqb zRGCzRZ_t%6(^Kp9E&m|)n@@GsdE~38pF5D?^(tmd^Z+M^P4~jFcvY*_%ZKi?k%ho= zvTbvv&}ePNkbW-MOL_(e5M#|yyRKO$K>b^ds1NMXMU8H;9^E*OfS)YYAISW&s5{;7 z47-{qyx}x*Jhu{M?#egUTXEDa&-G1sZu(k+)KvWDkn>79UsDgiSsj(FA9>x-s;$@e zJMs~G5**jAJjygg#?VU5PAJs(Uk;sFWa`gbZ<8t(Y6hEgM_x zV8_mIc`ZO^8Yfr`J5`sgWZgMC@MuBr67^OfdWc9jp|lK?%*BZ#hw6f-L5c5HNNHTM zx00_pc}7?r@E8S=9efL}uSP+B?j0ytB<=WI1&D4)E8SXeG!=i;+cc$i{L8eT@n|Q` zMY4zwGOsI%ossFuYVzCu*n}Ua?8xS`^0L~s-O)PiB(4;2>vc)(xyE*YUh~ke^}v2G zCyTJj57hKL^oh~63(WRxkvUijq&09{d$m7fC{v=$RV%oBlBUno*CK^xY>N#a^^z!P zNIIdd_k#H_rna&<2=3Kb7i5L<#&bp&PCoqS$w_QbTcXvgf>%|2NkuD=sUC{{lbw zqELS!j#sHg>v<0uX^;O-(X|pyyUgpEo?OEGla2YoG2$}R^||?(Qsrc5oF3mo1$FE6 zY-qpfMFA#~@Nbxw_HkAcspqiRYngr46~Y-Lu9YErdflW#0dq*$;&F?29j~B6$KA!`|AE_drvj>iCz!tTD3D( zm(+f#-IzdGV9u&At4g--JQ&VAx(EB^+cU)x`VudfTLatDrg~ArHHj>cHCN6;c7 z)qj~6NksODXJy(&-97(nf(mte!9{8#mpxc;JkYG((sAT74XcB40!iP;!D65lQDDl- zOhu{&y+x#e{(`s<6sNV?vv@Hp>`B$ zm(}QvhcCr9?y_}zwa1IOk8BSZtg(od;gg_|s>9DZuhEv&uO2LkGRaCfSY&B$tJo6D z8(Ox=pt3ZtC*LkZ$>9{X2Hzvj_S71F3PgR&9Pb730;bfj=@W9`+YFjRR zKDDYe9sa&YgQaiN$8|iHyVo{n(mrIprW3`U-Srcq_Uv}Z4u>%(yjW-W>iAi(UWK{_ z<2aOIWVdiyk>ORJpYQ;aeynkK;)LYM8;|$i^^?U7qIhOdw5v=P?{=ER z^hg5l(!BFemt85bt2pk4dJk-SQ2Dv~T+(T%b@p zwwBhe-L*jcQJ1Tmmv}#~=2Dq4^*Za*UYm!ndcWF71vq7rD$Ru;el{+9JT8(=##Lmo z#o;O!v1$dxPm67{r|HVhCg6^;#yisChvvI~LcB&O^OJblm=lW8UCRnh51UM2$xv!)sI;atD{79*L;B|z$$jKqnJJLMrQArGNxBbyk-%e(~R(XzwXrDH17%p z;~u5Nk6>A)c$h@@+4i|gTfhb%XZL%h&r8;8mrSE?tJ(VVHx;lCPBJ0b9TD+l8qZPb zM;9htn^obxzGVK&{dNYL-@X7k-6L{s)fu_n8ZuSy^m z!Cx$f@QDr#4yrw!HK<;nxmJnD-eTNm3JC3RL>na=rI<5s-RdGaLBXhECXw|iQf6c? zujz2}U5D86lj>KEl-?H^ze?S@g7_FHrFfcJaA~UEaXvXwl9~64FKUSzagfahK%Mp`ES+<2E{9 zLd9zXwwIY1#AxO1Ti)pEzoDv{%stFV{WN7$q1HHgpCQe=9%VLY-=z#B=u15b4j7+o zVsVB6GsC2n9#0eYy&?B4nQM$$Vw&QUhaWbzzkcFMu-vyCPEVr{Zc3g@;FDMNHf+hb zfRTEc)B$T3V%puK!abwVP&*-i=qjkb9$#lgVf}ExUDaRo!SUkkobP8N)lvwUXV3?+_i~A+>=s;5=k1`8w^L z=(l+J>F&oKbh%vgL3DfAGEebW>PP#A=GOy54u2cfcBucV7HDm8^I|8_qC53K;I@X9 z#@)$Hkpiu^|j`mVd&=)E@z$u)QjGGeR3**_Jwy8W8Jf9}-YqI5c z*Iz);m~AdUQ?7;gOyR#A&iCRMPj_^R<>Xv_&hMO%yq`mwMBbz)A#h77^Qm^si##oEO>?$%!yoqSptkN-u) zP`RG(F!uzmQ0o(JAMC7k9zmML9MmN~nCz)>+Jf>4B0lOZaE1^l9Yk(ua4ybP3>?gQ z^h@c^D6ilGtLu;4USO~BgKB40DdhWJyo}dd1j^_^`4VY8UHy%AGoO0LWC!iGDXJ@( z^%&2$vKjSxi3ny&&RpbxH!a1T zP{^r+r-SOUO+vr&X;oIlfUtjG-;7OghOEE|wR-BBP=biIl@x5^K!WSFYdLyx6w;`` zBbJ`5LN{{9p@&Y(OKO5r=5<1Sy-DO};vA@!{MWCjXrR@Y20G+71W^We)$Q~7AhuFg zRt2EJ3QRdCPoFObLM%f9Dkw_-5{VW-0%3qa9q0#umsoinD$OS{DSLP#g0wUPgK<82 z^5kkOU`8q4I&D2x9nI`R_L>Mw$0+UNHTK;d)+fN*^L=Yr4Hyackt zD|OkFGf=w_J(K=7?ew2vOX#xyrEER*H$6p2P*4TXc~mqsNa8Dj0|I>;XdJw7@PCxh zV}Lllq_nj1KPu(Z>OK>5kbeLrXd{KjEk3G#P*AfRG7kFi(oEOA+TRt8vJK$1(J3O#uJRzx{vf5V&8qd+eL6fgQ839nP6n@nT@ zv`KMd?)~bTn)|3Uzz%3l9ZFZBprot<#Dip<9aNTIA08oCPj~gI9iRtTgW^6+S=oTn zVjx;v8+}R}l;ew!17G>LQlCdo_DgY|L7k@xo?T26MVkBcf(8ePrf#X_pOiD!pp5u2 zG|;@GZzy}CKOOQm8=!d2z5^=l0rwif%rT{$h8`@+?$T+kMK83c)+8#+c0A_=@ooA~ zPY*ExHSY+z0R>wBm@^nKo&ZUr92`h{ime^4iJJW;Z3}()#CCEjJ%z3=xEQ%my>M@Yz(;}zgumx4x4xmaq zIuocPm2L0r@2>4O5QcB-!=5z%37{tuvH$(v9h{Nj!FjB6=k2*!kBW-21)Ycit`RuD zo8xbjqEm@1936YDM#{VR`1x^}X!N(;6j%fT^XSndAU9p|AwVypTtVArnUn3-2s_Sy z%+AcT1NDqMZHH4T5<7d-Ayt5N$g)~RSPgn45sIhSVXzT^l{wf1VzB)tLEd~{Vjez9 zf<`NvqfcBb>opiB_CTsEDd$PIsNZ&yI*Lu=SgXYG2bo`3;BMsP==hZ`N9sYPi;K(Y zyuxWug0{mXpqH3qy9!}twXJx_`%7BIx#;=!&zt$s0N@V2ZGH0>P^DcJdm8%a@<=Vx zUG{Igyv*dkNci?ajy}n|P|q3i-};wT>z}UqHzJaM*Rx^Q3<2;1QfE}Qx3?c_RZLhA ze-$YpJ(**Y4dnMrAU;BnYd+(e{SXpja4w!D`MUY;>L>DU)uz{G#v9G_MlnwgrI!?2cjzb>qv1j}7zBUkA0wRSIIAd6nuo$*l@*clq z7#wX0`WV(7)LYRP4Q- zCL2k{X~FAuDJ{kjMnjRZ?1_FLzaKRf-@NM5A+}o%&`JYcU6Vkfr4KZ;`B1MAwKCoz z(PDWLYYSj1E}*b|GY_y=^uPRBY?q(=~_O6j?;!>=ozJj5@rT3Z^s@$?zA*gOSxM-C*DXaWmq)v!(7 zsMuyJ55YKXy9RJ6qkxV9SqzYY1$NEyogHVU<@dz&Z5C!`>zjN~R&o{KCBzMNfHaQ& z>eZighS4BIjq_No!+UD#>&N{x?MYL_l$1EBd_)`C0R7fcMTF4becpX%`DYaY9mATM zN+b_<>MQCR8aSvNT|4Hi^?2Jm2qy#se2aE1`X8C_Va<%ff;ukB*a-Ua+ZxZl2_*wNm!XNEJq zYo`xu&`!Fy{9z$)qVF$nY8{O=T>EdYao0Z8is`=A1t z8gRf~!g|ZUCOl3n|FLTcO+cU+^`V;6!00V70g})v6QMo%WlJa{d@#T^ojA6q?*h97 zprkOsfaA&4F8U5wu%!R68m<|#l>-u$3j+f~w73=^zSwSWZ#P_mnge*BWcgs;>jBsv zphr7{hmnLqzi74gC+48ARMyvD0j!LXXdY7!)T8qw)vi2>r=TZj)d4;VaCm-l0=^_< zlke6cfMWnk&947*!JSbvf=$1k_4yIO-Xp3G_;392e_HWhEL&NylmYuj7tnzcwnVc4 zlK?DVCTWl*>+kPxX#EHHb$S3?*&**rXkN!pAPW33)exeXjRKT`Vf6O4h-EV*IurS*NsEXl?T1IA@1=t!-&w=1iFM6Kzs_~3{4$mB52*c7g)oO&GUNda!P{RTm9_+P$mD5(f?0W zhfSy+s#>!cz-))c%K*G-1z^2{NXvY>UJLb$r2-FLu&13O%!Y|(`Tz8S09Vijc;%-v zL)ln|s$Gk~E@TV5RZq~2_5jl$O#(Up)?k;h27YX`2MAN>xx0%Thb7E!*?AM<5Mb{F z^-1RiTvkVbuKmqv%1R&&u2hWSC;98OJAo#JdI`u1!8}f2w*on{V+VyDTG>s!00o2W zSxp3rlo9BdPd|s;q$~%>#{h8ioy}qeJ>WOg_P5SgbklI^P^ChRTOY1oDtVVm6wH8j zK+E8MY*#BZek(+D3WAlvxvafQtVf0M8MA%}os3=3FKs|LxX1hsx|N$DjI*As=tu|A zJ}@}A05BSFg#MVh)+jZE;a)&1$L^BK=2zPl!qnB1HKxp08+HV+!TmHJga!!mb-MTE ztOLa&*iezHfRE}gbDQ9=+Oz6Sq5 z+dC2ip`q0-8K!MlGpaAP$1OO?1Aqkad^SQP|3*8bPQ6?xF`k`M zc#q(u>9K*pdb$0K9HhsN*}O`zhDrQEieddq%oik@aq&!0(4#8?PYEuVeGG&Fy7%fC zRnVCX`a_cx9rjTLtrCSraB@0ul02V*Z%NBExX1fL8u;y?NDGL*XBl7w^mdxcKTgwN z*ts5pO;L2>FQVaJ2j9Pj6rTy4h*)ju0}D4;l>WvfbpDMu`}y<99KZ`A1OaJ0xHkG(@!7?mMgCjf~BF3ryqhOAD(3t!60sqRE7 zK*4l`pf92*6iN@oYWlCUCxE(?$_|fNo>gFS`~gZ5;L-*T5#IDM3=F}EIna;d@3o-? z3P{})HHKgE$#%(tp$D*Ud3ISD8E5QmtpO$L=nvpB9NohJ3~f1x#(@*^00j^VOR~hj zYRrRH2%=^-=mY;pdv6_7$FjwZLK1=ncXxMp4H5|M?(Xgug1ZDK5Zoa+1b26LcXtnO z$T|0%b93)kuj;*jUe&w1YRJyatku1H)~sIA>(_u7^uPGNACe?MCjc{(v04t`*Dj!# z=u5k$Sq!L2v4yNh049E^w-@3MeNX|sm@i>DpxdbeatxEwlo}1y0LB^{JNxdA|AWg* zq0sT^T1RO^16OQ(QA@n)U;cCd%X`#HqCF(DNa_n!3Xm>F9snZR%ql*)I5G|gKrf^` zmT0%|#saXpk@Y}$x5a|h^sVnpf1{*8{`ETF#twBwh& z3ZRmaBwjR5D+yqnzd%O6xSxO{3;ru8OW!~O`yJSsM^jQVya>?ge^{GNfKGcsTn`Tm zs{@SbKf}VcouJbI9@Y|oy~X9Yukf#Y$~p)T$NZ)70i@15P`WS?gsSLY{(ufEg=wNw zdB*$@umV^U0AT$y?-d#I`j1S6A<(5?S(*PA>gpvA1YkoRknu!T+`Yiq7v$xUC90zt71=O0Dco-6vZavzdWbbIJp{-j{+mW+MdS?0IOd+B?sXxp68`3kks4) z(vW&!B87W$v4Sr@CGq&hdNWP;&9brQhfd7=ti74JHT5k1^u2xHp#EwoWMT1F5~{zz z0yN&NcyeVQn8=TTH5&qj7b9`Gu4<$a0L=3b0LOQwaTKs~nc-fz=6>#E!>qx2Hp+U? z0L1$~nFPiz1C+8oq^a+F{z&{eHV)2J*#Q6~D+%`^^g5h?=l1digp}%l{MY18pYpqV z{+na@FWjx)jXH`ukq!XZ5QsEQ@vQ(D^hE&T_ejnkLPO$mRS00l%}h^kzZlP7T+T~A z0^4B$u7Ue$e?1@;Egr(c!hgImTd{zj4`d*ZfGuEN{Cr@`1+EXCB`=9)&xdQk?Oz_8 zoSRcsut71_gev{hLw)1~u;EvMtd<9U?#+uW%U=(?xGzv+0ATU)3y9SA@K>ztc+phH z7yOWs+IdPan+Vxi793y3~k#`@*} z7|=RauK!AhKDfP%A3vfY%y%HI!&-0>cQ5(^Ir1W(pj7>0uPuPh8vvj5&t#~4<{^uU z?mk|%ZpYi_#~0JE9NXejFr2Usz+nBu5AHV?e@!iG8IyceaHqwQZ)7o3s_8|3`*7S4 zNihG?m_!l<+;;#cZwp9>F9Ex#`F^>a^{hZ{C8;0zDIIUIyfs6Om}q z|3^7a>jBE|Mm-)i2ze!7xUZ^O0iIwR6Y!eabKE??=HxI|erELj2K4yf!1Itn-h#Yf zM-qT=f(>G<0orF6{~zFa4km_{hIGIFGSIqMS{$mXn`4P0d(mC;Q9qRK))a*XV`s-9 zvy~$uQBk;Jmx(m!4;=?3MdKj6B5&_nAHG7wfXKYm`1 z@+Hb(Ywr>-P@ob4>8e5b{2{-I1Cszx&Aa7sV+c>{=Z)-)xv`qFNwGtws4_6gJE%kCi$)JuRu4li1U2*U&&$4*WJp1x9`IebT@X zSinX|Ojg@ZxlvnmB9mfUL9 zrcW`RrdT`{;#x4ZKE>o#2Ate9O$K1dj62MnTIJ2{?cbx2n@&%kUdc5aOtLh-@<;F5 zU~%XxQEck6N{B*eQx|cWaJ;|j$4<)(N!k_P)K5b*M_|XVq66u#_sN=FuY|+~)n3(l ze(X9}d9JFFg%7Dq@kyj-P%Hd$pHp~C=Z!NZ2MdM;Z{Qb8kX`~K#)*1Ck$f8ODQQy8 z6s1h3jmzg|`?y;RB$gxbo*1>=HLajK1(c4DBDJ3%4l}Ms%2rxD&%-fBDqJkhMc8Ld zJRcsfLU+p2ysozM$lki7_&!m4_z?CMW1aT?5GE`a=y+w5-kjcv|Aw4uEaLTBJ$%D= zySQ*Hm*E&d9l-(rQiOAUFDmKk)ZQl%7rJcuhr-n)ZbJco+*V1@p%iyV|$#eHb?5Q;GB;VDy|3#t(md_7F$Musxuy z-~_>tWaNKPW6lv&Cr9*3N`ip$Hm{kh*LQ>fv_$)R9E#z$t)y}A=0=TBx!6J?-T3XQ zo2;uD!JErr_sMM-Xlbzcq)OHh7)*VO*-YWbj`-v8V@F&rsCys!$7cN&-})IuYcgI7 z!b^sw%3>RprnIQUG;xHKa3x8dlBlK+ z15-b+UeOdAq$h1Sim*I44PYQKg5%-8UQrhzzILt|0@1Pj#H{lcM0Fw8fl7$^CXeu( zoua%z)+!rseiEiDE}2a5Obl#Pd~YL7dyfbzOnHTeNoOQ?k>Rzp{O;yf%+xt4T&>!q ziy!P*kM@K^8}qXNIwk`@op^F+%(l1o_~zH0P{HlYsCsgPLBbuG-t6j7H;^iq!K^Bw z`VkKihz%az4x5yM!oc84zQa&~DOte*QHfX?=A8l4I-gflHRNmQM7YQPI)w2QMJTUW zm2v3J1ry0rC%?^{2r= zDIR!<8AJDo3*RDMb*(}YPYw_J2~V_U5=`w>$l%$R1r7AQI7H1IiC4{vAZ- zc+z_``0uu+2gZHgcixUCzZYU^`>JM3qB#_qd0j)olL;a%NZ%q`(Z~I%=sHHq2rp!B zzx48_2h+nRhw%dIrC9$>AyU(A4gB+I=AFokhE1m8@y4=pak(3_Qgd26&1D+fduW39 zG@24wq!?KFIa!?5Owq)(PG3%WwJsK?IP9XL)5OHViuelLk>{HVQQ6a3Z)80Z5E~k7aWVW($r}kx#Gav z$+^S&ttyrz}Bt3U0St6ZL)YNiAok zn3bzquyXzwE!8Sd*2Jw^DntEZf5~gc9&705>jh2)ojlE}RJAhM{5YPn)k*OfuPoJk zt~l|9f?6z|yeAzi^YV+Xo%Y6%nQd=i4t#!o4e|mg`}dq^Mn-OY41~`-I6*)VfIFP6 z?F{Jb4ILazt&Hto=EH1tOX~&pnxDK)$LmyU7G7P%J?oGyyQH9Mf2;ksG9x`&YpRd0!rFsBd2prm3_BSbcm7IKqk zM}bat^Uj(L*3;HSG2N(Zqct6%q#IV&r2%&MZ)xu}tLMVb%&H5-*KdBV*HG0Wn{G$j zO7d}A6-FA$`=1SFEW@)TKa>#f1x0zS+EtU=UBQ+TPLsO+1W2@XrB>N40 z&+3lvo9?{|#{&x-TNb`ViYEW8tNE6F%U+hKn!Y_^kYIFSdc7aPTuCT^T{B`DTDmk? zsRwmPF6h0;R;0^EnrXTOGw1p~_h-5L&+zyzdgpE}Db9D1@6uYd)L9Nrnj14O>>Nlh z^wz!g34;ij0Slr-;wDsokyq7BrY{>dSS9Gqv^d#mKiyO(Rg?QLf3@yMk#&Id6#w2?S1{c`j}xE9w004l_IO|ANk0xcE!)*m z+jqX|o8#!89Ps;AMQas@Sxs~7rV{JY3$bVb*5c3ezOq9v6F5q2GRy9-ym2=~M;l^! zXSPu_`$wgRq=gU7_kw5CObL$i^`(?8Uh~#Q1Cd-2`r0OCn$>COyn4!#^$&|8Sne~M z$h)~n$|<|8DOU+@bIoC=uza z+XpAjF7oD+eY`T>ZUuUgnfC^5SmF2X4|NSi$+9#~;$WpXjH- zueU7TJi3NuOPMW=;PJ{1y7d!?QK}ZgQqW|I5{voO1~N4Y5)aG~R5l4Bf4uNPh|LcC za)lE^1&;8wjyf<%%(v$OUCNt2)35DAzkIl1l&$$0W{SbMp5Mn7pI$-tAog6jN}sxS z_E8svU6XdgivpdM)ClOZ1>G8GgiMLGt@4pH#2?E2OpeFFI_-kK3;if=4Z4P`g71!% z;fK~u-NH=^ge0{$0hjoYCU9UVXBLhy6xKP(Oojf1Wx{t6foLAOu{(co{UUo2(u81a zr<^XzGipZH4`udaq9SzjQ1LY80U4X1j#*j`ti23RfL#zEc=VNeJ%cFn5!a77@iTs(B12{caw!6XG5-@puHjol8uAFr zx=8%D-`V7Rw!khqGWO`Svg(JwDMDt+Vbcf~#$eljrEL2q5_DQ=KifQRmlnCU^5AD7V#H+FU)$9n$o5ZZB zBYw&e`)+uWYm+xdM%{S?-ati%Y;cpY$k)x|3sIR6$XzghN)8S3o&E@6$Qc&Yxqcxd zB!T8KA*{mx&i_pidi)xc&2UTsxs4(bQ?xiWPETXoWU9E0B?XTn_ic8X zF{u5jFoI5Tj8-Ms!BqeyRuFQ#*)B;%)SYkfXNcKK1C?`mVa`XB^2$kYPzSGXW3rKoGSv{L+IG zl8Fhj>Qdyuz+8*{+hvU5qWP;SFTqgWjL=u(U%XQHkim5p;Y6i4<@QrKgyz(h z;$L>qV_Xz7v3gayQiaO+xni2M{5DreJ+~ak#GJb{v-+c8g!F!P8-oznkgb2&1q3a= z7Joy;5^Jd+vtlr|Sp?-XVe4$sYek6BWrxv0U79zAr)#{V%c8A82ITj-E-*|Qt)B0s zQTJWmgGs}Zh?g_ARfjTU%Akw&p?#}?QAAeB>`r>+EJB)Bih2_J@rb@!gA>xT&7~W9 z5X(`7oerH&4%BiISuSr>M1J3%{fkNR?mPL97_y&Ple_UI(BSt!eshqcMct2L*4oxJ z^Chc;`cNR(g{awVDamq$D3fX0jXo?vG3Cfkn3L2mzpGl1GmkhguXV1yz66>8uI5zV(+u?#s(y#n3-t<7I;k7&NAljgVg zJV2C`JCJwDMD+y8v5y$a1%j2v_1P{*KnzPHNuipRQxiS$)4=P&Y;#V`>zgT2FNzyV z(JX#5u7`xSyC7Y4pDq3n17?Y~xW>8MEduV=BRW%tLtt8^zBhT;9XW zWfx^Vsp}?q*gV|2C6x_vCb$$HGGen-CPa4+d2*`VWfe+a<?yy4XK;-4V863sM>E zvOATbEyI7)H~aMwKAIlEz-9~s_yq-l#v02c`?@%b*3!BZ_skc=Q{DiY&d$YNY~|0c z;&T`SG#?wg%!`?CyOZF17_1}6ZsYNt8M|1MxpGd!jnb$BxUw3ffCceqVHk@?a#S5> zG!e$*8zy^6dVr1^sp=d*8)SccsLAt1zp2u)2{-4Z`_lbXqx{ADo1&{_s{j32fH5=~ z3u#B(S82ltE_!Jyn=&y{!!v8Q<@0wtV0ar?(*?1vtrf#LQRru9;4NU;t?|1}TDK)b zv8#w4X}(*~YUI7k^oWRb4A!5(Jn&9l(_zOu;$!H1eXF>dXY3Kghl-ya6zH#k26=;N z$NwP%Egv$VT9dx~^uVx9Y{3Pu6r;I;gt(_h{zF?iiCo*3?G}GuScrE}_NJXn`j-%9 z^tez7WOgQ$Bt1;ChF*zg9~BH6A;OE*+0UUGb{;3%F7+}cX#Rbt)*Qkz*eg`v-Etah z;G|Hgm9MgB!PCfW;x?d2I@44A6Yfn1NkM{-P65GCrwviiXG4a|xMsTvJ-M;E;_WLByEDN*udBj`OoJkl|J*i$ z>hH@AGX0U&(j~--M+;M7jm)eZfbHa3sOAp`s6|W_& zPPU@;tlf#cQPz$=C$;E=g@aOB>E#~hvf+)egX5y26pWTqtNBv52#upM66g%9pC$IeJ@D{&2b<(sZh z)dYcYj!YWU3$BeT+S)@!$rbJ%Z;w*0PF8-%N{OB=YQJ-ystGx-r;fDdQW%=UQ$G7N zwSU@rlQO|~GJFmf`>339Ov!ts)0e-4mY-kfhDqFBQdS#^0stnqjaCu@!|^DDy)ay zYogmYyy$9y=;zWXvENzWzVnb-rM9vG{(;j}i~0J2@Pz&3#sip_o3Q`8imz{BPl_+e zR%igzpsN@Hrl*;U-$ zngwI1^i(+2gPCXRc8;0aeHp$Y1YxW(N99imO4{KHg?%PU`ifg0YX6PHXILwq7S{U( zz%TwU&avezjg2v|bmkgZZ}+km@0W9I+$=QY^qi1>3N38Kuw8cH|e)OE_ zgsNc5GAdmfOJd-!Pv8?Cl%F3Ax!Zja=qG^Gk$}Xc0{=p(th(-v>o`J|Xnn5%zNo$-NN12jn7$>JX3YV{&MPZ+mLj6uOh5rj+bVA8P0o zp;B9{MWE3agLNR875V(avYw(dY6DaXi#Q$OcR>)LK52K#Pylix(nAG>i)ws|eQA3R&1{L@bcFb!?oET``X&oI_Z|c$NQTA7*NioUDG! zMTnp%6pK8(L(SBd2^ERttd<xPXaOtQWJ@(bxYDgfnMm%oe_h?!i`Bnwt~_Z z906U#*4RTE%K@4V3fHcLEK^!UsZS6{AWoy5(;yJEKk&C0Ms<%_`P|Br$wX?1HkR6o#4R z+f~?^7OFl$YY4~jxFB8ZV$EmX9z}h#lW;8b<)_P#q|=n)IdzlwQ=|I%D4~Qw z?W~dGZg`W3P%h5`m116%l7RSN@N@r(Ua=aCQCCNnU!I+TP~D&&nE$Ds39HCZZWD4L zp1)b{wpsGA5zYD*;j)Z6u_!YV?gWnB3Ow3^lP34=qEe%NS}0pv((OSAzvS2@t}q{Y zz~&G9jd`mI6CAJN58!AIb01=CDa0-~#eb-NH9FXzw9NaAePPRDTwTiX%^ZhV6^@Ft z!E+20+9Aq-FLyVfBowwzv($fWBV^cA)1iBdW#vQ6#rI761+=dW7EUbr#sU0tRzJY* zn$3<-eHg|9a=H3qkM>bDj0-VB=Q7no%!s5GU(qim8Kc*q>@5l2yq|3FU#2mzbE~xx z9lNs1aE5LvSC6W7H?P&<%(dh~;*zc@QXg%)YsYevsz#m?Nv#7LP-nLvcp=y!*k2Mn zL1pAN3scOOs9Nw|q;l`ZQiqc)LbP8pmg(wsb~Q>8@_j+Yk-jvJciFC_Q+G z>Z{Z&8mjypd>R_~?=icM&IV-0L4FcO9|Q>~2GW#!83LQKDf2eJY{Hzg&JCHr@y`Ch z0$NUBzC({naSi^h+zdDw4;|eH z%&5ei%f=h=i{+aW?}wBVC1ck!%!$Pf5L2)C+nuKG)2zk%@_2RYbGvq$DZp zW`u6Z&ql1$<>I4N2cy{!aLI;aTi3mLz0O3vvgytn(FIRY!bP9D5n;{VcJ5fh!4zn4(Fm}Tu1GcZrJ_x7&70Ti+j2-^+t?#ddfKQk6>S)-0FjIdZ=AI z!DQZTW|j2kGqpmc^wT-Ut#r7^NMcZ1+{e@P3IATu$AvG%ja$;~_^8Uy1ywj^aMhZ) za)jq;Y(nZ`e!^N@7_eZ*O4urrP+|VxAV*+9RuH_ul?NbyX#~zPbEmptTU4( zp|+_$8&<_%tQB;%lbzp&H~fT0VL0-rmQr5k>FbpjLCqkGVK7>p*ed2{;h!r8+O-$jvV5#^=$AqC;r;M`w4etypx0qD*mt>B`&84oZ(0h{jRgI zf!vzFb_dr}3)S|E9OO!FM6G2jy=$Z9>i|`QytlMs*i2rJ{Ms^%KO%#r-=l&vGtD*& zaJx=`oe`1n22F`+I*-Y#xJ<}wFG;3mwJ8lSGGZAmU_EM*qRwF%5rxi)VhRmpACeCa ziUux)8{)Hu^(=(XV4A8(ajLbmM%Ji9(N$NuE{-AAxQ>s>Q5NW$rrh$Ln$@zvM_8WO zcj?6sC6rU#Mp()!VAQgjLudC_(7cJXl%0$UabA09L^sjROEDU}Uh34Z>B;X6^No(h z$f+zHglGNanK2Swmr)tGN!g%SlF51oYp6R$(e|^>z(4gE2kFwXKU7L9@g!v8gNTu{ z^RT#4(C}X0yD<*wBmzzn>MRaQ`y^S;JbEUf9Sx%Zeliwz+tnD%svZ&+larW9{E&}w z3%cbx0lST6^zXmq zd{HU=_@Pk*|GtMa9z?{REJF~vN`~N#T0Z>= zTIf+x`B^4|2WWCxI3KBffHIG2C##!kWD6L zYn?nyMruI-aHXR&Ci*ys&eA!r!a6nuY@8N`+dOKSgjh4*i$r{F<9UPT~P zAas8PPD`-(*=1qU9{+XecZQ!SF(g@pTIFC6UT*mZN5d?&Wnoj9aJ@RC2z9@yceY4& zL+}Zy<^ix%azpdwYJ~*sN*|+&V(c}l70CXNzht8@hqZ9Y-%O-cF1#o{OktytglekJ ztWTV!RE<#{I5nT&t@zPWp@Gbp$rtHgS1Mj^9t3U%h;66juz>Rg;rlpb21LN`{V&vi z-WU^YrcrH>gQ-lTS^#i0`kNLwKPmFkVelVMCJpTt9g%wQGd=^!n&q1CHl}Hp_Jld`X|A_3ahd&6BIO>@$JFH!uCt zlqj@iJ7U6{Jou&a%Xn-zb*MS_r*|q|TKS%WO0um=%G5NBs0pN;x6iu?WJbjhj%d|M z%Z8g&Lw;DB!kp|E)>FZDDqFmG6$v&PUcKPkXv~%2<{)p4ZsVHvg}OfNf6CYrBp;dH zA6=EonTxMsKc`k|Uc)Oj!S*KJ529i36h9%$Oor16ilF4Ao2>2lv^b=@@sM<{*f_=; zo3sQmYV9O~GtVKG&=+QOANM-eS%e1gx|;K~N;aAw?-h)9U=moibPS6Ts1DMwebcCW zk*+4mtKcAeergC)MGHh&nY_bP+W`u52v^YR8^@8Jojpsw%B3Mc@D;!dQg&)@bE6x? zfP_i#-+0~aowPh&Suc+~-|u-pKL$pyF3FjfVF1U9C9wRTNUV z2iHk~rB$7GKYa|tKy*A96?loRS|hCzIVEn+N>4|ymwcX6xWvaP60*S8d)u5`6sp|x zt^>2oSG!L0A5^&9$Y;V!BLc*l7Yn-AcNP3BFD6BlCcYlAf1yHt811wREiHPkV&O&bYFCKTtm}d3`c`j%8NO!(tpG3N z8|CFOmaePdrm^k1{|FQkbW|rH>3v&vA?Rw9B zpFy47Nj9x=7h|GJ7##ENyNIufT;(jNejZn0Uo7+~C(E8pcM9wI*`Gp2np3OC4Dj_e zQV|b|y9a%aes=6oB|1%7Dc2#i3Ma}$gbt8nK&gBq0%Hfne9~UR}&^G@EH;UW@ z&n4sFayJ-{mCDXOq2(>)S^)>`{;uHSVgou`=+wevyNV@4)9TV$?64xox#m1Es7#^d zQniyFJ;*QdRoN>&R`uZJ8Pkj_g$@UV>xHG-a7M6iv#c6|DQZ3OIgw7B(zCtTR$~mg z7YYq5LR2v}l0BL(Om-5Ntjt5_j;7;*FW6_yXrn1hgWe}bw7C_@4^tUDzVEi4v6ll1 zpZq$J@7#D)A|I*CN-p2#Wo5yk-BXrqB@rG{Dt9P9A7sINO)%eviAzX7zj+3V7ymQc z4$?SxOaxqU8U>0*|7E#58|umbBjJAdRo!}_8_{P4ZuD7am*-5wX-AKlB1-=98^5j0 z<@sxa;2MANOnk=j3GI~}>O3bT>`qWdPB?um!fM2x0qefGq`9wKwJS@O)5a%1p1$wb zmKoh58W}G+jnOGD94J?=ct5Q94-E&{Hfs*gJk_|fxUaxeo@jYrlv!KTSv3r3H`rRN zU=JsMIkG8NZA@MY+c;i-^iWxJNVz+$SaDpqUQ=vY8SJ@s^7d>_I(c?!a4+9wYq+cX zp;4l|@nHWdYEE|Wr+xONvT~8|jc1EX!(PqC+ULt|a|%*v$Dgh`^?yduz}u*H^nlpcT8v zX=EJ{jKd4jkz2*_Be6tyo5k^wn;xo$xRB`a8|T}qa=V`n?i>z1Qdb58Q+f~^b`%S} z;BUN`80M*Iy&9LVAMUn(h?$jmO=BJ(;=8z6?(kW{HY_b=cyRj99XP$GRz3;XxIVnr z6Qq{mhn#{-*S;ROS;6CVXVb1tv2@~%t65mfJ2vwm_lL`ADK53rid8j*;1&I}Y0du+Q6Wyex&e|9@PaZa}*b9kMwi93js z;VWLAy!yEfjgeYB3iy|*h?yl4k{7*^saiYd4IXsbyKKi28|!VdTl!PUrW9X(t+TP! z5}-y^>a=ZD6GK7~(S!PSUcrN5WS9lFk$~Br@#xm%RG}Ps{T+tTXKSe3!?Hr*M^R#_Oe+0hr;5MnEKKDJrS>e&(R#+%HI*3lj%V#w_ZR)~?! z4SMJM0Sbjl1yw37Bk4j4gA0Pp`p7NtI%e~8-wdVXgu7bY*=Ahco@CUF2fJ$zlrM?< ztjT%5L6^PC=9^8=5Ih?(Jl5n!S^2Ag82S75z63ce_YW^;kSc4WSWEhC&JV#l8EGif z8d2#qsH-LEUEAB|Y41|EkOh8vJw$zaZ26eJh&OuZrNkpL!)VO+{V0ujcthk2N|A$% zKJ^VR+x2Ra?K#Vuw;U2dlEQFjpv~#x_s@1(XKky&g`hw3(%{~XbXKGGClQUULd$`b zaMU5fE)RwiG_9JPPK2q*(n0cKHUirgCcX@(PYmXcjvl*<1#4r1hB+-ZHwQFO@rDVL z<{C0-;Kza67*u`C;^@Kb;z*F0OjJh(ax!KA;{&q`*!a2<6DJ1DvKdQMOgS*z$2kf_ z5;%D8a0OB%JIGC?17g{%Y8pQbKShv6&fZVw+KzvLBcl^e>F?XBZSO;>!~c@p4GbUk z@{ifci#&o8mdc{_KCVP*xHYl!woTP!Rnzu@osPaDME5If#9*?MH!xoF7i*iE5UmVX z9?W+}bFE)$(t&5^VL1&G<6@R#a~{{XWY^VVbKVZNh|ZM2l9?mS3!s#F9K=uW7X_R6+3he845q}1i&~REJ!Ch3W1?- zd>Q&!^}sx^9A=no`BqV)$`HtBs`lqEq-N6yA97L)P=|1^Rq65akYTmvqLQ_vR`uKT z5l}CZ5rS;a-yW3-Ql^-kzfqxlZ6sp+=8o>V+W`~S#QUbQdjjjB#Rh`EEq9pnvol#+ zq{?KK0s0=*_;WU+;QJyarq3jp+{)+sUyzo4+vEkP5=}$SR^uq6w-}GtR#VU<6zyPq zOineLP|?Ih+0i|0iR=ms%zWFF^#b+cW2H0Ol%3%>naQ%+usAEW*sYjd2;`%-g-LF8 zP6^)(xei4M(7On4W>#n8;K8KjB-_z8!ow}kgPqiC>9gNnzh8}4l4N$FgV3wbRN!_g zFbtpN&I8wQ(6l`ahcd~%|re1H_+M3O|9!N;k*_z3DoUWS= zuF<+TyDKPTN%G5k1t!$Lqm}N{$f8}iNtkoCnWLmo#(|3>t(8(pSDy;-1f%Ii84nC6 zwT9XZ!AOrJ9Y!_V#WgP{g#>g zlT2s>S}4w^%Eg)QE8#^$`yz1yOz#(=4=s1-tWqufi?2~IjM4@MaC5YSB?NsjlZJwM%Gpi3c7k0hA&b2&A2hE z33_z@lW3Pf@*DM3=Am)3xhls{e!&Kv_v_P+sojJ%L<^b=qWl^JX|HiX{9G_bJJof# z#Lx=+tLTfBMS&|*YvT?)sM zs$y4U#G3BgwYNct#C4nX^D{%tTovR^i{MT|1M02c@$hFsgdpf17}f*U_Tn^Q`|fQs zSpp4khC5g%Kzk(&h3Ujc@As3cW!hf#XMXH_Vr9|TP?~fR8oS*Jvjxq|A>C^@-o@Hc z`F=O~ltRbV`LQSkbunvO)&^DoZGo1nFnRnim==2(hyNg|LX>T=r=~s3Kqpvk3dFls zc)xpyOL7Mu`5-8AV%G0J;3w^1DJYanD5+c9}R=#B$e?WC+*W9fd2`l39{(NocMtT}T zr4YKLnyZ=k5?Cx*u@+s%^J*Te#nbwVJfg<+%}&ToMgE??jkzp*kLiNf3?birsBi|w zzVVpf2v}Qtr;(@*Y!w0#7B*7icV!*&k?*tdiK*1KPS16IC0vo12`ekS#}Nb262~C} zAMoIUKWYc+O$gb{+nT^jkAD_(J7+f4jY*I>4(cypX%`}y%z(t@p~T-8>4MlZC|MNg zVs&*^5aG$PySRHAn!y{UVx*dcWlFmg-R!iuUFljEu(EKs8KzHZB01m-f9}sV!jJq3 zScCs5+M3JLBXvLx1|5)|LI8mPHL%u~v9q?Zr_;B#`z6`gbwr=yE8aFP*hUjlo7i}q`&CRZ#_7ijzsxBq1fg53+zqA6 z>B8koEj?2u(@}g{<5ts+J73I=oJE?Fp~I_bv660b3uMiZaI&8Ilo}%lcaji` zC!xAcU|}uCdm^E%>`G}4RV`cHAZejUx9qICO}3l*7@R!bqS$Bg=gV-9*68v2IfcM% zh+06glWbCywlcMGcZAckeny~)^Mw<)w-`{uXAeN6lv!Rg__C@#{kdfEGmPaHtg09v z+bRA$8uqsEIT2%O0-YR|od$$MQpn5jtAtR2n84 z!LLYLZK=ZHijJzNg835$@0PwFyoOw#OSKZEGyXBPNX1<2k2L3jm|W8df1Sod6$dWR zA(YlBhM70#XW&{Bk96*2b1hS@A%BAiFNE%j{({o{-5HmYh&}G$Nwkof4tzSasyHw z^ze;DXK=E|lct}bGD;9A1+iVkU~Fm*CH2;3yPXi8+^4hs@U1qhm=178q~4+7HBl@x z2pt_3rj8U=@Kb#quhEQ?zPcJyRqZ4$M(kGAh~o;|0^{TM=~?9t$Yww#*4-#Plsn%E z6Q0whTMwI$=M0B#`taucfX0MLu(FhudsQ$^p;_N4QHElE#3Q*1Y{<^ZUQ1?w-yt3E z441SUw%K750_2@%8Z*;_ICm68Cx^INqsi~k?;wsLB z5J}_$ynL#omwrAUPr~B~W$PZL239l8<<3sG>Uv+b=8F2%%zj&Z`_*Z#7rDKpW>be3 zoK<0yCWsYcjP(Lms3Nft2t&-mB@-oA%>6kA;{Sp5FDcv%Z;Q%AWG{dr2u) z&#{697lO+4t#gMT7ujG~da`4PS>e$>SoaKVo6siHHTlgWkCvOr>49kCGeea3&3X^p zCO2n$r_#XC|EGesqEMQD4M?mHa3K9tV)Y&E9jq0jUYQHTECq}^mkA~;x~Ht+@fzjMHy%JzkAoXZ!kCKSM+K;7 z43-C!J>aOlhhNv}q*o;}cZmnJN?u6WpHnCERABTGN|fo44=e3tKaHJy-z#S!$Ea2o zn5gF6>4c4v(6m(4Cq(pSn(`H?!vFHd-(&{s4-;OAcji3M32Pbq-pXaMzz zNPmyipWoEq12(Lom4mi|tBs+(=8G)JeEfF?Ns3-zFP6g^pfG=7d;G;@60jJw?F=pK z>1bd6{!VyR>Drfq4FWR03i_+;<1adp*MMgJl~4w34M$6$`Ty1~r%Q9T8qo0x;AVi! zKR!c5f0F;v_&=Ha*N%jym8As%ykM~De0e_jI6C4tgIdWNN)fBLnbyFi~<1q zIe`A9e&z2E0Z>u@>xURx8T=o~PZo^-jRi?!E+3gB2*}Iv?<|&6{*wjM ze`66RG&uMIZ|Fq+Nr~da@ h^qdrp=42=)s_0tJvaF`#1n%`cGf{|iVAr0)O# diff --git a/SoftwareDesign/Code/1-1a/main.cpp b/SoftwareDesign/Lab1/1-1a/main.cpp similarity index 100% rename from SoftwareDesign/Code/1-1a/main.cpp rename to SoftwareDesign/Lab1/1-1a/main.cpp diff --git a/SoftwareDesign/Code/1-1b/main.cpp b/SoftwareDesign/Lab1/1-1b/main.cpp similarity index 100% rename from SoftwareDesign/Code/1-1b/main.cpp rename to SoftwareDesign/Lab1/1-1b/main.cpp diff --git a/SoftwareDesign/Code/1-1c/main.cpp b/SoftwareDesign/Lab1/1-1c/main.cpp similarity index 100% rename from SoftwareDesign/Code/1-1c/main.cpp rename to SoftwareDesign/Lab1/1-1c/main.cpp diff --git a/SoftwareDesign/Code/1-1d/main.cpp b/SoftwareDesign/Lab1/1-1d/main.cpp similarity index 100% rename from SoftwareDesign/Code/1-1d/main.cpp rename to SoftwareDesign/Lab1/1-1d/main.cpp diff --git a/SoftwareDesign/Code/1-2/main.cpp b/SoftwareDesign/Lab1/1-2/main.cpp similarity index 100% rename from SoftwareDesign/Code/1-2/main.cpp rename to SoftwareDesign/Lab1/1-2/main.cpp diff --git a/SoftwareDesign/Code/1-3a/main.cpp b/SoftwareDesign/Lab1/1-3a/main.cpp similarity index 100% rename from SoftwareDesign/Code/1-3a/main.cpp rename to SoftwareDesign/Lab1/1-3a/main.cpp diff --git a/SoftwareDesign/Code/1-3b/main.cpp b/SoftwareDesign/Lab1/1-3b/main.cpp similarity index 100% rename from SoftwareDesign/Code/1-3b/main.cpp rename to SoftwareDesign/Lab1/1-3b/main.cpp diff --git a/SoftwareDesign/Code/inc/matrix.hpp b/SoftwareDesign/Lab1/inc/matrix.hpp similarity index 100% rename from SoftwareDesign/Code/inc/matrix.hpp rename to SoftwareDesign/Lab1/inc/matrix.hpp diff --git a/SoftwareDesign/Code/inc/vector.hpp b/SoftwareDesign/Lab1/inc/vector.hpp similarity index 100% rename from SoftwareDesign/Code/inc/vector.hpp rename to SoftwareDesign/Lab1/inc/vector.hpp diff --git a/SoftwareDesign/Code/2-1/2-1.pro b/SoftwareDesign/Lab2/2-1/2-1.pro similarity index 100% rename from SoftwareDesign/Code/2-1/2-1.pro rename to SoftwareDesign/Lab2/2-1/2-1.pro diff --git a/SoftwareDesign/Code/2-1/main.cpp b/SoftwareDesign/Lab2/2-1/main.cpp similarity index 100% rename from SoftwareDesign/Code/2-1/main.cpp rename to SoftwareDesign/Lab2/2-1/main.cpp diff --git a/SoftwareDesign/Code/2-1/mainwindow.cpp b/SoftwareDesign/Lab2/2-1/mainwindow.cpp similarity index 100% rename from SoftwareDesign/Code/2-1/mainwindow.cpp rename to SoftwareDesign/Lab2/2-1/mainwindow.cpp diff --git a/SoftwareDesign/Code/2-1/mainwindow.h b/SoftwareDesign/Lab2/2-1/mainwindow.h similarity index 100% rename from SoftwareDesign/Code/2-1/mainwindow.h rename to SoftwareDesign/Lab2/2-1/mainwindow.h diff --git a/SoftwareDesign/Code/2-1/mainwindow.ui b/SoftwareDesign/Lab2/2-1/mainwindow.ui similarity index 100% rename from SoftwareDesign/Code/2-1/mainwindow.ui rename to SoftwareDesign/Lab2/2-1/mainwindow.ui diff --git a/SoftwareDesign/Code/2-2-1/2-2-1.pro b/SoftwareDesign/Lab2/2-2-1/2-2-1.pro similarity index 100% rename from SoftwareDesign/Code/2-2-1/2-2-1.pro rename to SoftwareDesign/Lab2/2-2-1/2-2-1.pro diff --git a/SoftwareDesign/Code/2-2-1/main.cpp b/SoftwareDesign/Lab2/2-2-1/main.cpp similarity index 100% rename from SoftwareDesign/Code/2-2-1/main.cpp rename to SoftwareDesign/Lab2/2-2-1/main.cpp diff --git a/SoftwareDesign/Code/2-2-1/mainwindow.cpp b/SoftwareDesign/Lab2/2-2-1/mainwindow.cpp similarity index 100% rename from SoftwareDesign/Code/2-2-1/mainwindow.cpp rename to SoftwareDesign/Lab2/2-2-1/mainwindow.cpp diff --git a/SoftwareDesign/Code/2-2-1/mainwindow.h b/SoftwareDesign/Lab2/2-2-1/mainwindow.h similarity index 100% rename from SoftwareDesign/Code/2-2-1/mainwindow.h rename to SoftwareDesign/Lab2/2-2-1/mainwindow.h diff --git a/SoftwareDesign/Code/2-2-1/mainwindow.ui b/SoftwareDesign/Lab2/2-2-1/mainwindow.ui similarity index 100% rename from SoftwareDesign/Code/2-2-1/mainwindow.ui rename to SoftwareDesign/Lab2/2-2-1/mainwindow.ui diff --git a/SoftwareDesign/Code/2-2-2/2-2-2.pro b/SoftwareDesign/Lab2/2-2-2/2-2-2.pro similarity index 100% rename from SoftwareDesign/Code/2-2-2/2-2-2.pro rename to SoftwareDesign/Lab2/2-2-2/2-2-2.pro diff --git a/SoftwareDesign/Code/2-2-2/main.cpp b/SoftwareDesign/Lab2/2-2-2/main.cpp similarity index 100% rename from SoftwareDesign/Code/2-2-2/main.cpp rename to SoftwareDesign/Lab2/2-2-2/main.cpp diff --git a/SoftwareDesign/Code/2-2-2/mainwindow.cpp b/SoftwareDesign/Lab2/2-2-2/mainwindow.cpp similarity index 100% rename from SoftwareDesign/Code/2-2-2/mainwindow.cpp rename to SoftwareDesign/Lab2/2-2-2/mainwindow.cpp diff --git a/SoftwareDesign/Code/2-2-2/mainwindow.h b/SoftwareDesign/Lab2/2-2-2/mainwindow.h similarity index 100% rename from SoftwareDesign/Code/2-2-2/mainwindow.h rename to SoftwareDesign/Lab2/2-2-2/mainwindow.h diff --git a/SoftwareDesign/Code/2-2-2/mainwindow.ui b/SoftwareDesign/Lab2/2-2-2/mainwindow.ui similarity index 100% rename from SoftwareDesign/Code/2-2-2/mainwindow.ui rename to SoftwareDesign/Lab2/2-2-2/mainwindow.ui diff --git a/SoftwareDesign/Code/2-2-3/2-2-3.pro b/SoftwareDesign/Lab2/2-2-3/2-2-3.pro similarity index 100% rename from SoftwareDesign/Code/2-2-3/2-2-3.pro rename to SoftwareDesign/Lab2/2-2-3/2-2-3.pro diff --git a/SoftwareDesign/Code/2-2-3/main.cpp b/SoftwareDesign/Lab2/2-2-3/main.cpp similarity index 100% rename from SoftwareDesign/Code/2-2-3/main.cpp rename to SoftwareDesign/Lab2/2-2-3/main.cpp diff --git a/SoftwareDesign/Code/2-2-3/mainwindow.cpp b/SoftwareDesign/Lab2/2-2-3/mainwindow.cpp similarity index 100% rename from SoftwareDesign/Code/2-2-3/mainwindow.cpp rename to SoftwareDesign/Lab2/2-2-3/mainwindow.cpp diff --git a/SoftwareDesign/Code/2-2-3/mainwindow.h b/SoftwareDesign/Lab2/2-2-3/mainwindow.h similarity index 100% rename from SoftwareDesign/Code/2-2-3/mainwindow.h rename to SoftwareDesign/Lab2/2-2-3/mainwindow.h diff --git a/SoftwareDesign/Code/2-2-3/mainwindow.ui b/SoftwareDesign/Lab2/2-2-3/mainwindow.ui similarity index 100% rename from SoftwareDesign/Code/2-2-3/mainwindow.ui rename to SoftwareDesign/Lab2/2-2-3/mainwindow.ui diff --git a/SoftwareDesign/Code/2-2-4/2-2-4.pro b/SoftwareDesign/Lab2/2-2-4/2-2-4.pro similarity index 100% rename from SoftwareDesign/Code/2-2-4/2-2-4.pro rename to SoftwareDesign/Lab2/2-2-4/2-2-4.pro diff --git a/SoftwareDesign/Code/2-2-4/main.cpp b/SoftwareDesign/Lab2/2-2-4/main.cpp similarity index 100% rename from SoftwareDesign/Code/2-2-4/main.cpp rename to SoftwareDesign/Lab2/2-2-4/main.cpp diff --git a/SoftwareDesign/Code/2-2-4/widget.cpp b/SoftwareDesign/Lab2/2-2-4/widget.cpp similarity index 100% rename from SoftwareDesign/Code/2-2-4/widget.cpp rename to SoftwareDesign/Lab2/2-2-4/widget.cpp diff --git a/SoftwareDesign/Code/2-2-4/widget.h b/SoftwareDesign/Lab2/2-2-4/widget.h similarity index 100% rename from SoftwareDesign/Code/2-2-4/widget.h rename to SoftwareDesign/Lab2/2-2-4/widget.h diff --git a/SoftwareDesign/Code/2-2-4/widget.ui b/SoftwareDesign/Lab2/2-2-4/widget.ui similarity index 100% rename from SoftwareDesign/Code/2-2-4/widget.ui rename to SoftwareDesign/Lab2/2-2-4/widget.ui diff --git a/SoftwareDesign/Code/2-2-5/2-2-5.pro b/SoftwareDesign/Lab2/2-2-5/2-2-5.pro similarity index 100% rename from SoftwareDesign/Code/2-2-5/2-2-5.pro rename to SoftwareDesign/Lab2/2-2-5/2-2-5.pro diff --git a/SoftwareDesign/Code/2-2-5/main.cpp b/SoftwareDesign/Lab2/2-2-5/main.cpp similarity index 100% rename from SoftwareDesign/Code/2-2-5/main.cpp rename to SoftwareDesign/Lab2/2-2-5/main.cpp diff --git a/SoftwareDesign/Code/2-2-5/mainwindow.cpp b/SoftwareDesign/Lab2/2-2-5/mainwindow.cpp similarity index 100% rename from SoftwareDesign/Code/2-2-5/mainwindow.cpp rename to SoftwareDesign/Lab2/2-2-5/mainwindow.cpp diff --git a/SoftwareDesign/Code/2-2-5/mainwindow.h b/SoftwareDesign/Lab2/2-2-5/mainwindow.h similarity index 100% rename from SoftwareDesign/Code/2-2-5/mainwindow.h rename to SoftwareDesign/Lab2/2-2-5/mainwindow.h diff --git a/SoftwareDesign/Code/2-2-5/mainwindow.ui b/SoftwareDesign/Lab2/2-2-5/mainwindow.ui similarity index 100% rename from SoftwareDesign/Code/2-2-5/mainwindow.ui rename to SoftwareDesign/Lab2/2-2-5/mainwindow.ui diff --git a/SoftwareDesign/Code/2-2-5_enhanced/2-2-5_enhanced.pro b/SoftwareDesign/Lab2/2-2-5_enhanced/2-2-5_enhanced.pro similarity index 100% rename from SoftwareDesign/Code/2-2-5_enhanced/2-2-5_enhanced.pro rename to SoftwareDesign/Lab2/2-2-5_enhanced/2-2-5_enhanced.pro diff --git a/SoftwareDesign/Code/2-2-5_enhanced/cal.cpp b/SoftwareDesign/Lab2/2-2-5_enhanced/cal.cpp similarity index 100% rename from SoftwareDesign/Code/2-2-5_enhanced/cal.cpp rename to SoftwareDesign/Lab2/2-2-5_enhanced/cal.cpp diff --git a/SoftwareDesign/Code/2-2-5_enhanced/cal.h b/SoftwareDesign/Lab2/2-2-5_enhanced/cal.h similarity index 100% rename from SoftwareDesign/Code/2-2-5_enhanced/cal.h rename to SoftwareDesign/Lab2/2-2-5_enhanced/cal.h diff --git a/SoftwareDesign/Code/2-2-5_enhanced/main.cpp b/SoftwareDesign/Lab2/2-2-5_enhanced/main.cpp similarity index 100% rename from SoftwareDesign/Code/2-2-5_enhanced/main.cpp rename to SoftwareDesign/Lab2/2-2-5_enhanced/main.cpp diff --git a/SoftwareDesign/Code/2-2-5_enhanced/mainwindow.cpp b/SoftwareDesign/Lab2/2-2-5_enhanced/mainwindow.cpp similarity index 100% rename from SoftwareDesign/Code/2-2-5_enhanced/mainwindow.cpp rename to SoftwareDesign/Lab2/2-2-5_enhanced/mainwindow.cpp diff --git a/SoftwareDesign/Code/2-2-5_enhanced/mainwindow.h b/SoftwareDesign/Lab2/2-2-5_enhanced/mainwindow.h similarity index 100% rename from SoftwareDesign/Code/2-2-5_enhanced/mainwindow.h rename to SoftwareDesign/Lab2/2-2-5_enhanced/mainwindow.h diff --git a/SoftwareDesign/Code/2-2-5_enhanced/mainwindow.ui b/SoftwareDesign/Lab2/2-2-5_enhanced/mainwindow.ui similarity index 100% rename from SoftwareDesign/Code/2-2-5_enhanced/mainwindow.ui rename to SoftwareDesign/Lab2/2-2-5_enhanced/mainwindow.ui diff --git a/SoftwareDesign/Code/2-3-1/2-3-1.pro b/SoftwareDesign/Lab2/2-3-1/2-3-1.pro similarity index 100% rename from SoftwareDesign/Code/2-3-1/2-3-1.pro rename to SoftwareDesign/Lab2/2-3-1/2-3-1.pro diff --git a/SoftwareDesign/Code/2-3-1/main.cpp b/SoftwareDesign/Lab2/2-3-1/main.cpp similarity index 100% rename from SoftwareDesign/Code/2-3-1/main.cpp rename to SoftwareDesign/Lab2/2-3-1/main.cpp diff --git a/SoftwareDesign/Code/2-3-1/mainwindow.cpp b/SoftwareDesign/Lab2/2-3-1/mainwindow.cpp similarity index 100% rename from SoftwareDesign/Code/2-3-1/mainwindow.cpp rename to SoftwareDesign/Lab2/2-3-1/mainwindow.cpp diff --git a/SoftwareDesign/Code/2-3-1/mainwindow.h b/SoftwareDesign/Lab2/2-3-1/mainwindow.h similarity index 100% rename from SoftwareDesign/Code/2-3-1/mainwindow.h rename to SoftwareDesign/Lab2/2-3-1/mainwindow.h diff --git a/SoftwareDesign/Code/2-3-1/mainwindow.ui b/SoftwareDesign/Lab2/2-3-1/mainwindow.ui similarity index 100% rename from SoftwareDesign/Code/2-3-1/mainwindow.ui rename to SoftwareDesign/Lab2/2-3-1/mainwindow.ui diff --git a/SoftwareDesign/Code/2-3-2/2-3-2.pro b/SoftwareDesign/Lab2/2-3-2/2-3-2.pro similarity index 100% rename from SoftwareDesign/Code/2-3-2/2-3-2.pro rename to SoftwareDesign/Lab2/2-3-2/2-3-2.pro diff --git a/SoftwareDesign/Code/2-3-2/main.cpp b/SoftwareDesign/Lab2/2-3-2/main.cpp similarity index 100% rename from SoftwareDesign/Code/2-3-2/main.cpp rename to SoftwareDesign/Lab2/2-3-2/main.cpp diff --git a/SoftwareDesign/Code/2-3-2/mainwindow.cpp b/SoftwareDesign/Lab2/2-3-2/mainwindow.cpp similarity index 100% rename from SoftwareDesign/Code/2-3-2/mainwindow.cpp rename to SoftwareDesign/Lab2/2-3-2/mainwindow.cpp diff --git a/SoftwareDesign/Code/2-3-2/mainwindow.h b/SoftwareDesign/Lab2/2-3-2/mainwindow.h similarity index 100% rename from SoftwareDesign/Code/2-3-2/mainwindow.h rename to SoftwareDesign/Lab2/2-3-2/mainwindow.h diff --git a/SoftwareDesign/Code/2-3-2/mainwindow.ui b/SoftwareDesign/Lab2/2-3-2/mainwindow.ui similarity index 100% rename from SoftwareDesign/Code/2-3-2/mainwindow.ui rename to SoftwareDesign/Lab2/2-3-2/mainwindow.ui diff --git a/SoftwareDesign/Code/2-3-3/2-3-3.pro b/SoftwareDesign/Lab2/2-3-3/2-3-3.pro similarity index 100% rename from SoftwareDesign/Code/2-3-3/2-3-3.pro rename to SoftwareDesign/Lab2/2-3-3/2-3-3.pro diff --git a/SoftwareDesign/Code/2-3-3/main.cpp b/SoftwareDesign/Lab2/2-3-3/main.cpp similarity index 100% rename from SoftwareDesign/Code/2-3-3/main.cpp rename to SoftwareDesign/Lab2/2-3-3/main.cpp diff --git a/SoftwareDesign/Code/2-3-3/mainwindow.cpp b/SoftwareDesign/Lab2/2-3-3/mainwindow.cpp similarity index 100% rename from SoftwareDesign/Code/2-3-3/mainwindow.cpp rename to SoftwareDesign/Lab2/2-3-3/mainwindow.cpp diff --git a/SoftwareDesign/Code/2-3-3/mainwindow.h b/SoftwareDesign/Lab2/2-3-3/mainwindow.h similarity index 100% rename from SoftwareDesign/Code/2-3-3/mainwindow.h rename to SoftwareDesign/Lab2/2-3-3/mainwindow.h diff --git a/SoftwareDesign/Code/2-3-3/mainwindow.ui b/SoftwareDesign/Lab2/2-3-3/mainwindow.ui similarity index 100% rename from SoftwareDesign/Code/2-3-3/mainwindow.ui rename to SoftwareDesign/Lab2/2-3-3/mainwindow.ui diff --git a/SoftwareDesign/Code/2-3-4/2-3-4.pro b/SoftwareDesign/Lab2/2-3-4/2-3-4.pro similarity index 100% rename from SoftwareDesign/Code/2-3-4/2-3-4.pro rename to SoftwareDesign/Lab2/2-3-4/2-3-4.pro diff --git a/SoftwareDesign/Code/2-3-4/main.cpp b/SoftwareDesign/Lab2/2-3-4/main.cpp similarity index 100% rename from SoftwareDesign/Code/2-3-4/main.cpp rename to SoftwareDesign/Lab2/2-3-4/main.cpp diff --git a/SoftwareDesign/Code/2-3-4/mainwindow.cpp b/SoftwareDesign/Lab2/2-3-4/mainwindow.cpp similarity index 100% rename from SoftwareDesign/Code/2-3-4/mainwindow.cpp rename to SoftwareDesign/Lab2/2-3-4/mainwindow.cpp diff --git a/SoftwareDesign/Code/2-3-4/mainwindow.h b/SoftwareDesign/Lab2/2-3-4/mainwindow.h similarity index 100% rename from SoftwareDesign/Code/2-3-4/mainwindow.h rename to SoftwareDesign/Lab2/2-3-4/mainwindow.h diff --git a/SoftwareDesign/Code/2-3-4/mainwindow.ui b/SoftwareDesign/Lab2/2-3-4/mainwindow.ui similarity index 100% rename from SoftwareDesign/Code/2-3-4/mainwindow.ui rename to SoftwareDesign/Lab2/2-3-4/mainwindow.ui diff --git a/SoftwareDesign/Code/Lab2-3_Calculator4490_v3/Lab2-3_Calculator4490_v3.pro b/SoftwareDesign/Lab2/Lab2-3_Calculator4490_v3/Lab2-3_Calculator4490_v3.pro similarity index 100% rename from SoftwareDesign/Code/Lab2-3_Calculator4490_v3/Lab2-3_Calculator4490_v3.pro rename to SoftwareDesign/Lab2/Lab2-3_Calculator4490_v3/Lab2-3_Calculator4490_v3.pro diff --git a/SoftwareDesign/Code/Lab2-3_Calculator4490_v3/cal.cpp b/SoftwareDesign/Lab2/Lab2-3_Calculator4490_v3/cal.cpp similarity index 100% rename from SoftwareDesign/Code/Lab2-3_Calculator4490_v3/cal.cpp rename to SoftwareDesign/Lab2/Lab2-3_Calculator4490_v3/cal.cpp diff --git a/SoftwareDesign/Code/Lab2-3_Calculator4490_v3/cal.h b/SoftwareDesign/Lab2/Lab2-3_Calculator4490_v3/cal.h similarity index 100% rename from SoftwareDesign/Code/Lab2-3_Calculator4490_v3/cal.h rename to SoftwareDesign/Lab2/Lab2-3_Calculator4490_v3/cal.h diff --git a/SoftwareDesign/Code/Lab2-3_Calculator4490_v3/main.cpp b/SoftwareDesign/Lab2/Lab2-3_Calculator4490_v3/main.cpp similarity index 100% rename from SoftwareDesign/Code/Lab2-3_Calculator4490_v3/main.cpp rename to SoftwareDesign/Lab2/Lab2-3_Calculator4490_v3/main.cpp diff --git a/SoftwareDesign/Code/Lab2-3_Calculator4490_v3/mainwindow.cpp b/SoftwareDesign/Lab2/Lab2-3_Calculator4490_v3/mainwindow.cpp similarity index 79% rename from SoftwareDesign/Code/Lab2-3_Calculator4490_v3/mainwindow.cpp rename to SoftwareDesign/Lab2/Lab2-3_Calculator4490_v3/mainwindow.cpp index f3fca90..92b397f 100644 --- a/SoftwareDesign/Code/Lab2-3_Calculator4490_v3/mainwindow.cpp +++ b/SoftwareDesign/Lab2/Lab2-3_Calculator4490_v3/mainwindow.cpp @@ -119,41 +119,8 @@ void MainWindow::keyPressEvent(QKeyEvent *event) { case Qt::Key_7: emit ui->digitBtn7->clicked(); break; - case Qt::Key_8: - emit ui->digitBtn8->clicked(); - break; - case Qt::Key_9: - emit ui->digitBtn9->clicked(); - break; - case Qt::Key_Plus: - emit ui->addBtn->clicked(); - break; - case Qt::Key_Minus: - emit ui->subtractionBtn->clicked(); - break; - case Qt::Key_Asterisk: - emit ui->mulBtn->clicked(); - break; - case Qt::Key_Slash: - emit ui->divisionBtn->clicked(); - break; - case Qt::Key_Period: - emit ui->pointBtn->clicked(); - break; - case Qt::Key_Equal: - emit ui->equalBtn->clicked(); - case Qt::Key_Backspace: - emit ui->clearBtn->clicked(); - break; - case Qt::Key_Delete: - emit ui->clearAllBtn->clicked(); - break; - case Qt::Key_Enter: - emit ui->equalBtn->clicked(); - break; - case Qt::Key_Escape: - emit ui->clearAllBtn->clicked(); - break; + case Qt::Key_8: + default: break; } diff --git a/SoftwareDesign/Code/Lab2-3_Calculator4490_v3/mainwindow.h b/SoftwareDesign/Lab2/Lab2-3_Calculator4490_v3/mainwindow.h similarity index 100% rename from SoftwareDesign/Code/Lab2-3_Calculator4490_v3/mainwindow.h rename to SoftwareDesign/Lab2/Lab2-3_Calculator4490_v3/mainwindow.h diff --git a/SoftwareDesign/Code/Lab2-3_Calculator4490_v3/mainwindow.ui b/SoftwareDesign/Lab2/Lab2-3_Calculator4490_v3/mainwindow.ui similarity index 100% rename from SoftwareDesign/Code/Lab2-3_Calculator4490_v3/mainwindow.ui rename to SoftwareDesign/Lab2/Lab2-3_Calculator4490_v3/mainwindow.ui diff --git a/SoftwareDesign/Code/lab2-3-5_KeyEvent/lab2-3-5_KeyEvent.pro b/SoftwareDesign/Lab2/lab2-3-5_KeyEvent/lab2-3-5_KeyEvent.pro similarity index 100% rename from SoftwareDesign/Code/lab2-3-5_KeyEvent/lab2-3-5_KeyEvent.pro rename to SoftwareDesign/Lab2/lab2-3-5_KeyEvent/lab2-3-5_KeyEvent.pro diff --git a/SoftwareDesign/Code/lab2-3-5_KeyEvent/main.cpp b/SoftwareDesign/Lab2/lab2-3-5_KeyEvent/main.cpp similarity index 100% rename from SoftwareDesign/Code/lab2-3-5_KeyEvent/main.cpp rename to SoftwareDesign/Lab2/lab2-3-5_KeyEvent/main.cpp diff --git a/SoftwareDesign/Code/lab2-3-5_KeyEvent/mainwindow.cpp b/SoftwareDesign/Lab2/lab2-3-5_KeyEvent/mainwindow.cpp similarity index 100% rename from SoftwareDesign/Code/lab2-3-5_KeyEvent/mainwindow.cpp rename to SoftwareDesign/Lab2/lab2-3-5_KeyEvent/mainwindow.cpp diff --git a/SoftwareDesign/Code/lab2-3-5_KeyEvent/mainwindow.h b/SoftwareDesign/Lab2/lab2-3-5_KeyEvent/mainwindow.h similarity index 100% rename from SoftwareDesign/Code/lab2-3-5_KeyEvent/mainwindow.h rename to SoftwareDesign/Lab2/lab2-3-5_KeyEvent/mainwindow.h diff --git a/SoftwareDesign/Code/lab2-3-5_KeyEvent/mainwindow.ui b/SoftwareDesign/Lab2/lab2-3-5_KeyEvent/mainwindow.ui similarity index 100% rename from SoftwareDesign/Code/lab2-3-5_KeyEvent/mainwindow.ui rename to SoftwareDesign/Lab2/lab2-3-5_KeyEvent/mainwindow.ui diff --git a/SoftwareDesign/Code/workspace.code-workspace b/SoftwareDesign/workspace.code-workspace similarity index 100% rename from SoftwareDesign/Code/workspace.code-workspace rename to SoftwareDesign/workspace.code-workspace