/* quartus_eda --gen_testbench --tool=modelsim_oem --format=verilog --write_settings_files=off jyh_4490_1 -c jyh_4490_1 --vector_source="/home/ir/Documents/codelib/Quartus/v1/Waveform.vwf" --testbench_file="/home/ir/Documents/codelib/Quartus/v1/simulation/qsim/Waveform.vwf.vt" quartus_eda --gen_testbench --tool=modelsim_oem --format=verilog --write_settings_files=off jyh_4490_1 -c jyh_4490_1 --vector_source="/home/ir/Documents/codelib/Quartus/v1/Waveform.vwf" --testbench_file="/home/ir/Documents/codelib/Quartus/v1/simulation/qsim/Waveform.vwf.vt" quartus_eda --write_settings_files=off --simulation --functional=on --flatten_buses=off --tool=modelsim_oem --format=verilog --output_directory="/home/ir/Documents/codelib/Quartus/v1/simulation/qsim/" jyh_4490_1 -c jyh_4490_1 quartus_eda --write_settings_files=off --simulation --functional=off --flatten_buses=off --timescale=1ps --tool=modelsim_oem --format=verilog --output_directory="/home/ir/Documents/codelib/Quartus/v1/simulation/qsim/" jyh_4490_1 -c jyh_4490_1 onerror {exit -code 1} vlib work vlog -work work jyh_4490_1.vo vlog -work work Waveform.vwf.vt vsim -c -t 1ps -L cycloneive_ver -L altera_ver -L altera_mf_ver -L 220model_ver -L sgate_ver -L altera_lnsim_ver work.jyh_4490_1_vlg_vec_tst vcd file -direction jyh_4490_1.msim.vcd vcd add -internal jyh_4490_1_vlg_vec_tst/* vcd add -internal jyh_4490_1_vlg_vec_tst/i1/* proc simTimestamp {} { echo "Simulation time: $::now ps" if { [string equal running [runStatus]] } { after 2500 simTimestamp } } after 2500 simTimestamp run -all quit -f onerror {exit -code 1} vlib work vlog -work work jyh_4490_1.vo vlog -work work Waveform.vwf.vt vsim -novopt -c -t 1ps -L cycloneive_ver -L altera_ver -L altera_mf_ver -L 220model_ver -L sgate_ver -L altera_lnsim_ver work.jyh_4490_1_vlg_vec_tst vcd file -direction jyh_4490_1.msim.vcd vcd add -internal jyh_4490_1_vlg_vec_tst/* vcd add -internal jyh_4490_1_vlg_vec_tst/i1/* proc simTimestamp {} { echo "Simulation time: $::now ps" if { [string equal running [runStatus]] } { after 2500 simTimestamp } } after 2500 simTimestamp run -all quit -f verilog */ /* WARNING: Do NOT edit the input and output ports in this file in a text editor if you plan to continue editing the block that represents it in the Block Editor! File corruption is VERY likely to occur. */ /* Copyright (C) 2021 Intel Corporation. All rights reserved. Your use of Intel Corporation's design tools, logic functions and other software and tools, and any partner logic functions, and any output files from any of the foregoing (including device programming or simulation files), and any associated documentation or information are expressly subject to the terms and conditions of the Intel Program License Subscription Agreement, the Intel Quartus Prime License Agreement, the Intel FPGA IP License Agreement, or other applicable license agreement, including, without limitation, that your use is for the sole purpose of programming logic devices manufactured by Intel and sold by Intel or its authorized distributors. Please refer to the applicable agreement for further details, at https://fpgasoftware.intel.com/eula. */ HEADER { VERSION = 1; TIME_UNIT = ns; DATA_OFFSET = 0.0; DATA_DURATION = 1000.0; SIMULATION_TIME = 0.0; GRID_PHASE = 0.0; GRID_PERIOD = 10.0; GRID_DUTY_CYCLE = 50; } SIGNAL("codeout") { VALUE_TYPE = NINE_LEVEL_BIT; SIGNAL_TYPE = BUS; WIDTH = 7; LSB_INDEX = 0; DIRECTION = OUTPUT; PARENT = ""; } SIGNAL("codeout[6]") { VALUE_TYPE = NINE_LEVEL_BIT; SIGNAL_TYPE = SINGLE_BIT; WIDTH = 1; LSB_INDEX = -1; DIRECTION = OUTPUT; PARENT = "codeout"; } SIGNAL("codeout[5]") { VALUE_TYPE = NINE_LEVEL_BIT; SIGNAL_TYPE = SINGLE_BIT; WIDTH = 1; LSB_INDEX = -1; DIRECTION = OUTPUT; PARENT = "codeout"; } SIGNAL("codeout[4]") { VALUE_TYPE = NINE_LEVEL_BIT; SIGNAL_TYPE = SINGLE_BIT; WIDTH = 1; LSB_INDEX = -1; DIRECTION = OUTPUT; PARENT = "codeout"; } SIGNAL("codeout[3]") { VALUE_TYPE = NINE_LEVEL_BIT; SIGNAL_TYPE = SINGLE_BIT; WIDTH = 1; LSB_INDEX = -1; DIRECTION = OUTPUT; PARENT = "codeout"; } SIGNAL("codeout[2]") { VALUE_TYPE = NINE_LEVEL_BIT; SIGNAL_TYPE = SINGLE_BIT; WIDTH = 1; LSB_INDEX = -1; DIRECTION = OUTPUT; PARENT = "codeout"; } SIGNAL("codeout[1]") { VALUE_TYPE = NINE_LEVEL_BIT; SIGNAL_TYPE = SINGLE_BIT; WIDTH = 1; LSB_INDEX = -1; DIRECTION = OUTPUT; PARENT = "codeout"; } SIGNAL("codeout[0]") { VALUE_TYPE = NINE_LEVEL_BIT; SIGNAL_TYPE = SINGLE_BIT; WIDTH = 1; LSB_INDEX = -1; DIRECTION = OUTPUT; PARENT = "codeout"; } SIGNAL("indec") { VALUE_TYPE = NINE_LEVEL_BIT; SIGNAL_TYPE = BUS; WIDTH = 4; LSB_INDEX = 0; DIRECTION = INPUT; PARENT = ""; } SIGNAL("indec[3]") { VALUE_TYPE = NINE_LEVEL_BIT; SIGNAL_TYPE = SINGLE_BIT; WIDTH = 1; LSB_INDEX = -1; DIRECTION = INPUT; PARENT = "indec"; } SIGNAL("indec[2]") { VALUE_TYPE = NINE_LEVEL_BIT; SIGNAL_TYPE = SINGLE_BIT; WIDTH = 1; LSB_INDEX = -1; DIRECTION = INPUT; PARENT = "indec"; } SIGNAL("indec[1]") { VALUE_TYPE = NINE_LEVEL_BIT; SIGNAL_TYPE = SINGLE_BIT; WIDTH = 1; LSB_INDEX = -1; DIRECTION = INPUT; PARENT = "indec"; } SIGNAL("indec[0]") { VALUE_TYPE = NINE_LEVEL_BIT; SIGNAL_TYPE = SINGLE_BIT; WIDTH = 1; LSB_INDEX = -1; DIRECTION = INPUT; PARENT = "indec"; } TRANSITION_LIST("codeout[6]") { NODE { REPEAT = 1; LEVEL X FOR 1000.0; } } TRANSITION_LIST("codeout[5]") { NODE { REPEAT = 1; LEVEL X FOR 1000.0; } } TRANSITION_LIST("codeout[4]") { NODE { REPEAT = 1; LEVEL X FOR 1000.0; } } TRANSITION_LIST("codeout[3]") { NODE { REPEAT = 1; LEVEL X FOR 1000.0; } } TRANSITION_LIST("codeout[2]") { NODE { REPEAT = 1; LEVEL X FOR 1000.0; } } TRANSITION_LIST("codeout[1]") { NODE { REPEAT = 1; LEVEL X FOR 1000.0; } } TRANSITION_LIST("codeout[0]") { NODE { REPEAT = 1; LEVEL X FOR 1000.0; } } TRANSITION_LIST("indec[3]") { NODE { REPEAT = 1; NODE { REPEAT = 6; LEVEL 0 FOR 80.0; LEVEL 1 FOR 80.0; } LEVEL 0 FOR 40.0; } } TRANSITION_LIST("indec[2]") { NODE { REPEAT = 1; NODE { REPEAT = 12; LEVEL 0 FOR 40.0; LEVEL 1 FOR 40.0; } LEVEL 0 FOR 40.0; } } TRANSITION_LIST("indec[1]") { NODE { REPEAT = 1; NODE { REPEAT = 25; LEVEL 0 FOR 20.0; LEVEL 1 FOR 20.0; } } } TRANSITION_LIST("indec[0]") { NODE { REPEAT = 1; NODE { REPEAT = 50; LEVEL 0 FOR 10.0; LEVEL 1 FOR 10.0; } } } DISPLAY_LINE { CHANNEL = "indec"; EXPAND_STATUS = EXPANDED; RADIX = Binary; TREE_INDEX = 0; TREE_LEVEL = 0; CHILDREN = 1, 2, 3, 4; } DISPLAY_LINE { CHANNEL = "indec[3]"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; TREE_INDEX = 1; TREE_LEVEL = 1; PARENT = 0; } DISPLAY_LINE { CHANNEL = "indec[2]"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; TREE_INDEX = 2; TREE_LEVEL = 1; PARENT = 0; } DISPLAY_LINE { CHANNEL = "indec[1]"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; TREE_INDEX = 3; TREE_LEVEL = 1; PARENT = 0; } DISPLAY_LINE { CHANNEL = "indec[0]"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; TREE_INDEX = 4; TREE_LEVEL = 1; PARENT = 0; } DISPLAY_LINE { CHANNEL = "codeout"; EXPAND_STATUS = EXPANDED; RADIX = Binary; TREE_INDEX = 5; TREE_LEVEL = 0; CHILDREN = 6, 7, 8, 9, 10, 11, 12; } DISPLAY_LINE { CHANNEL = "codeout[6]"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; TREE_INDEX = 6; TREE_LEVEL = 1; PARENT = 5; } DISPLAY_LINE { CHANNEL = "codeout[5]"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; TREE_INDEX = 7; TREE_LEVEL = 1; PARENT = 5; } DISPLAY_LINE { CHANNEL = "codeout[4]"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; TREE_INDEX = 8; TREE_LEVEL = 1; PARENT = 5; } DISPLAY_LINE { CHANNEL = "codeout[3]"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; TREE_INDEX = 9; TREE_LEVEL = 1; PARENT = 5; } DISPLAY_LINE { CHANNEL = "codeout[2]"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; TREE_INDEX = 10; TREE_LEVEL = 1; PARENT = 5; } DISPLAY_LINE { CHANNEL = "codeout[1]"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; TREE_INDEX = 11; TREE_LEVEL = 1; PARENT = 5; } DISPLAY_LINE { CHANNEL = "codeout[0]"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; TREE_INDEX = 12; TREE_LEVEL = 1; PARENT = 5; } TIME_BAR { TIME = 0; MASTER = TRUE; } ;