`timescale 1ns/1ns module jyh_4490_7_testbench; wire f1,f2; reg clk,f0,p,sta; initial begin clk=0; f0=0; p=0; sta=0; end always #10 clk=~clk; always begin f0=1; #300000; f0=0; #700000; f0=1; #240000; f0=0; #760000; f0=1; #180000; f0=0; #820000; f0=1; #120000; f0=0; #800000; f0=1; #60000; f0=0; #940000; end always begin p=0; sta=0; #6000000; p=1; #3000000; sta=1; #3000000; end jyh_4490_7_is C0( .clk(clk), .p(p), .f1(f1), .f0(f0), .sta(sta), .f2(f2)); endmodule