m255 K4 z2 !s11f vlog 2020.1 2020.02, Feb 28 2020 13 !s112 1.1 !i10d 8192 !i10e 25 !i10f 100 cModel Technology Z0 d/home/ir/Documents/codelib/Quartus/v6_testbench vjyh_4490_6_testbench Z1 !s110 1652112137 !i10b 1 !s100 9RmKL5c:1Ye3BFU:0J9]`0 Z2 !s11b Dg1SIo80bB@j0V0VzS_@n1 Id==[MRQOC85_S3Uml<2>03 Z3 VDg1SIo80bB@j0V0VzS_@n1 R0 w1652112121 8/home/ir/Documents/codelib/Quartus/v6/jyh_4490_6_testbench.v F/home/ir/Documents/codelib/Quartus/v6/jyh_4490_6_testbench.v !i122 5 L0 2 43 Z4 OV;L;2020.1;71 r1 !s85 0 31 Z5 !s108 1652112137.000000 !s107 /home/ir/Documents/codelib/Quartus/v6/jyh_4490_6_testbench.v| !s90 -reportprogress|300|-work|work|-stats=none|/home/ir/Documents/codelib/Quartus/v6/jyh_4490_6_testbench.v| !i113 1 Z6 o-work work Z7 tCvgOpt 0 vjyh_4490_mstate R1 !i10b 1 !s100 k6`3d_8l:B?R5kVbE<[il30 R3 R0 w1652112079 8/home/ir/Documents/codelib/Quartus/v6/jyh_4490_mstate.v F/home/ir/Documents/codelib/Quartus/v6/jyh_4490_mstate.v !i122 6 L0 1 53 R4 r1 !s85 0 31 R5 !s107 /home/ir/Documents/codelib/Quartus/v6/jyh_4490_mstate.v| !s90 -reportprogress|300|-work|work|-stats=none|/home/ir/Documents/codelib/Quartus/v6/jyh_4490_mstate.v| !i113 1 R6 R7