This repository has been archived on 2024-01-06. You can view files and clone it, but cannot push or open issues or pull requests.
justhomework/Quartus/UART_Design/uart_screen/uart_screen_bb.v

29 lines
658 B
Coq
Raw Normal View History

module uart_screen (
2022-06-25 04:47:11 +00:00
clk_clk,
reset_reset_n,
rs232_0_from_uart_ready,
rs232_0_from_uart_data,
rs232_0_from_uart_error,
rs232_0_from_uart_valid,
rs232_0_to_uart_data,
rs232_0_to_uart_error,
rs232_0_to_uart_valid,
rs232_0_to_uart_ready,
2022-06-25 04:47:11 +00:00
rs232_0_UART_RXD,
rs232_0_UART_TXD);
2022-06-25 04:47:11 +00:00
input clk_clk;
input reset_reset_n;
input rs232_0_from_uart_ready;
output [7:0] rs232_0_from_uart_data;
output rs232_0_from_uart_error;
output rs232_0_from_uart_valid;
input [7:0] rs232_0_to_uart_data;
input rs232_0_to_uart_error;
input rs232_0_to_uart_valid;
output rs232_0_to_uart_ready;
2022-06-25 04:47:11 +00:00
input rs232_0_UART_RXD;
output rs232_0_UART_TXD;
endmodule