This repository has been archived on 2024-01-06. You can view files and clone it, but cannot push or open issues or pull requests.
justhomework/Quartus/v6_testbench_top/work/_info

173 lines
3.4 KiB
Text
Raw Normal View History

2022-05-10 09:37:13 +00:00
m255
K4
z2
!s11f vlog 2020.1 2020.02, Feb 28 2020
13
!s112 1.1
!i10d 8192
!i10e 25
!i10f 100
cModel Technology
d/home/ir
vjyh_4490_4_encoder
2022-05-11 03:49:38 +00:00
Z0 !s110 1652240689
2022-05-10 09:37:13 +00:00
!i10b 1
!s100 cFk5FR?`]C?]?DGkTnFdM3
Z1 !s11b Dg1SIo80bB@j0V0VzS_@n1
I?07CIJlcZ[`=lz^XgA`PQ3
Z2 VDg1SIo80bB@j0V0VzS_@n1
Z3 d/home/ir/Documents/codelib/Quartus/v6_testbench_top
w1652170623
8/home/ir/Documents/codelib/Quartus/v6/jyh_4490_6_encoder.v
F/home/ir/Documents/codelib/Quartus/v6/jyh_4490_6_encoder.v
2022-05-11 03:49:38 +00:00
!i122 9
2022-05-10 09:37:13 +00:00
L0 2 69
Z4 OV;L;2020.1;71
r1
!s85 0
31
2022-05-11 03:49:38 +00:00
Z5 !s108 1652240689.000000
2022-05-10 09:37:13 +00:00
!s107 /home/ir/Documents/codelib/Quartus/v6/jyh_4490_6_encoder.v|
!s90 -reportprogress|300|-work|work|-stats=none|/home/ir/Documents/codelib/Quartus/v6/jyh_4490_6_encoder.v|
!i113 1
Z6 o-work work
Z7 tCvgOpt 0
vjyh_4490_6_counter
R0
!i10b 1
2022-05-10 10:11:28 +00:00
!s100 `l63P4MoD8f[VNNfF;=oh1
2022-05-10 09:37:13 +00:00
R1
2022-05-10 10:11:28 +00:00
IMOA12FC3dlN@b^UZ7V8]63
2022-05-10 09:37:13 +00:00
R2
R3
2022-05-10 10:11:28 +00:00
w1652175670
2022-05-10 09:37:13 +00:00
8/home/ir/Documents/codelib/Quartus/v6/jyh_4490_6_counter.v
F/home/ir/Documents/codelib/Quartus/v6/jyh_4490_6_counter.v
2022-05-11 03:49:38 +00:00
!i122 7
2022-05-10 10:11:28 +00:00
L0 1 63
2022-05-10 09:37:13 +00:00
R4
r1
!s85 0
31
R5
!s107 /home/ir/Documents/codelib/Quartus/v6/jyh_4490_6_counter.v|
!s90 -reportprogress|300|-work|work|-stats=none|/home/ir/Documents/codelib/Quartus/v6/jyh_4490_6_counter.v|
!i113 1
R6
R7
vjyh_4490_6_divider
2022-05-10 10:11:28 +00:00
R0
2022-05-10 09:37:13 +00:00
!i10b 1
!s100 zdk?4e^CNLoe=JoFWze7Z1
R1
I[K2]Cc5^@XRcAooMje=NS0
R2
R3
w1652174341
8/home/ir/Documents/codelib/Quartus/v6/jyh_4490_6_divider.v
F/home/ir/Documents/codelib/Quartus/v6/jyh_4490_6_divider.v
2022-05-11 03:49:38 +00:00
!i122 8
2022-05-10 09:37:13 +00:00
L0 1 19
R4
r1
!s85 0
31
2022-05-10 10:11:28 +00:00
R5
2022-05-10 09:37:13 +00:00
!s107 /home/ir/Documents/codelib/Quartus/v6/jyh_4490_6_divider.v|
!s90 -reportprogress|300|-work|work|-stats=none|/home/ir/Documents/codelib/Quartus/v6/jyh_4490_6_divider.v|
!i113 1
R6
R7
vjyh_4490_6_entry
2022-05-11 03:49:38 +00:00
R0
2022-05-10 09:37:13 +00:00
!i10b 1
2022-05-11 03:49:38 +00:00
!s100 fDg?`>QF<:IFc6=ZN<7S92
2022-05-10 09:37:13 +00:00
R1
2022-05-11 03:49:38 +00:00
IP:U10hl2O^Vg^_z>U4HdY1
2022-05-10 09:37:13 +00:00
R2
R3
2022-05-11 03:49:38 +00:00
w1652240573
2022-05-10 09:37:13 +00:00
8/home/ir/Documents/codelib/Quartus/v6/jyh_4490_6_entry.v
F/home/ir/Documents/codelib/Quartus/v6/jyh_4490_6_entry.v
2022-05-11 03:49:38 +00:00
!i122 10
L0 1 51
2022-05-10 09:37:13 +00:00
R4
r1
!s85 0
31
2022-05-11 03:49:38 +00:00
R5
2022-05-10 09:37:13 +00:00
!s107 /home/ir/Documents/codelib/Quartus/v6/jyh_4490_6_entry.v|
!s90 -reportprogress|300|-work|work|-stats=none|/home/ir/Documents/codelib/Quartus/v6/jyh_4490_6_entry.v|
!i113 1
R6
R7
2022-05-11 03:49:38 +00:00
vjyh_4490_6_testbench
2022-05-10 10:11:28 +00:00
R0
2022-05-10 09:37:13 +00:00
!i10b 1
2022-05-11 03:49:38 +00:00
!s100 `NfM;beY^i;lN3FJ7YLhn2
2022-05-10 09:37:13 +00:00
R1
2022-05-11 03:49:38 +00:00
IUX02=3ELh^jdNI5icO]2<3
2022-05-10 09:37:13 +00:00
R2
R3
2022-05-11 03:49:38 +00:00
w1652177049
8/home/ir/Documents/codelib/Quartus/v6/jyh_4490_6_testbench.v
F/home/ir/Documents/codelib/Quartus/v6/jyh_4490_6_testbench.v
!i122 11
L0 2 45
R4
r1
!s85 0
31
R5
!s107 /home/ir/Documents/codelib/Quartus/v6/jyh_4490_6_testbench.v|
!s90 -reportprogress|300|-work|work|-stats=none|/home/ir/Documents/codelib/Quartus/v6/jyh_4490_6_testbench.v|
!i113 1
R6
R7
vjyh_4490_6_testbench_top
!s110 1652240790
!i10b 1
!s100 ?jWEb^A:D0OT7^[jWkZPO2
R1
IXd_A3:9mO`z]NBP2U@Wa=0
R2
R3
w1652240785
2022-05-10 09:37:13 +00:00
8/home/ir/Documents/codelib/Quartus/v6/jyh_4490_6_testbench_top.v
F/home/ir/Documents/codelib/Quartus/v6/jyh_4490_6_testbench_top.v
2022-05-11 03:49:38 +00:00
!i122 14
L0 2 48
2022-05-10 09:37:13 +00:00
R4
r1
!s85 0
31
2022-05-11 03:49:38 +00:00
!s108 1652240790.000000
2022-05-10 09:37:13 +00:00
!s107 /home/ir/Documents/codelib/Quartus/v6/jyh_4490_6_testbench_top.v|
!s90 -reportprogress|300|-work|work|-stats=none|/home/ir/Documents/codelib/Quartus/v6/jyh_4490_6_testbench_top.v|
!i113 1
R6
R7
vjyh_4490_mstate
R0
!i10b 1
!s100 k6`3d<mJf9V5FF52Yi=aD2
R1
I[WM>_8l:B?R5kVbE<[il30
R2
R3
w1652112350
8/home/ir/Documents/codelib/Quartus/v6/jyh_4490_mstate.v
F/home/ir/Documents/codelib/Quartus/v6/jyh_4490_mstate.v
2022-05-11 03:49:38 +00:00
!i122 13
2022-05-10 09:37:13 +00:00
L0 1 53
R4
r1
!s85 0
31
R5
!s107 /home/ir/Documents/codelib/Quartus/v6/jyh_4490_mstate.v|
!s90 -reportprogress|300|-work|work|-stats=none|/home/ir/Documents/codelib/Quartus/v6/jyh_4490_mstate.v|
!i113 1
R6
R7