重排gitignore

This commit is contained in:
iridiumR 2022-03-29 23:17:26 +08:00
parent a0651561f3
commit b4f3ef2d78
1 changed files with 6 additions and 49 deletions

55
.gitignore vendored
View File

@ -1,3 +1,4 @@
# GCC/Clang
*.exe
tempCodeRunnerFile.cpp
tempCodeRunnerFile.h
@ -38,9 +39,7 @@ JLinkSettings.ini
*.doc
#qt
#Qt Creator
**/build*
*~
*.autosave
@ -75,17 +74,9 @@ Thumbs.db
*.rc
/.qmake.cache
/.qmake.stash
# qtcreator generated files
*.pro.user*
# xemacs temporary files
*.flc
# Vim temporary files
.*.swp
# Visual Studio generated files
*.ib_pdb_index
*.idb
*.ilk
@ -99,57 +90,23 @@ Thumbs.db
*.opensdf
*.vcxproj
*vcxproj.*
# MinGW generated files
*.Debug
*.Release
# Python byte code
*.pyc
# Binaries
# --------
*.dll
*.exe
# ignore Quartus II generated files
# Quartus
*_generation_script*
*_inst.vhd
*.bak
*.cmp
*.done
*.eqn
*.hex
*.html
*.jdi
*.jpg
# *.mif
*.pin
*.pof
*.ptf.*
*.qar
*.qarlog
*.qws
*.rpt
*.smsg
*.sof
*.sopc_builder
*.summary
*.tcl
*.txt # Explicitly add any text files used
*~
*example*
*sopc_*
# *.sdc # I want those timing files
# ignore Quartus II generated folders
*/incremental_db/
**/simulation/**
*/timing/
**/incremental_db/**
db/
**/db/*
**/output_files/**
PLLJ_PLLSPE_INFO.txt
PLLJ_PLLSPE_INFO.txt
*.qws