This repository has been archived on 2024-01-06. You can view files and clone it, but cannot push or open issues or pull requests.
justhomework/Quartus/final/tb.v
2022-06-13 17:46:38 +08:00

26 lines
No EOL
242 B
Verilog

`timescale 1ns/1ns
module tb;
reg clk;
reg key;
wire [3:0] out;
initial begin
clk=0;
key=0;
end
always#10 clk=~clk;
always begin
repeat(10)
#15 key=~key;
repeat(10)
#15 key=0;
end
mstate M1(
.clk(clk),
.key(key),
.out(out));
endmodule