This repository has been archived on 2024-01-06. You can view files and clone it, but cannot push or open issues or pull requests.
justhomework/Quartus/v5_testbench/jyh_4490_5_testbench.cr.mti

16 lines
662 B
Text
Raw Normal View History

2022-04-19 13:42:43 +00:00
/home/ir/Documents/codelib/Quartus/v5/jyh_4490_5_divider.v {1 {vlog -work work -stats=none /home/ir/Documents/codelib/Quartus/v5/jyh_4490_5_divider.v
Model Technology ModelSim - Intel FPGA Edition vlog 2020.1 Compiler 2020.02 Feb 28 2020
-- Compiling module jyh_4490_5_divider
Top level modules:
jyh_4490_5_divider
2022-04-19 14:26:41 +00:00
} {} {}} /home/ir/Documents/codelib/Quartus/v5/jyh_4490_5_testbench.v {1 {vlog -work work -stats=none /home/ir/Documents/codelib/Quartus/v5/jyh_4490_5_testbench.v
Model Technology ModelSim - Intel FPGA Edition vlog 2020.1 Compiler 2020.02 Feb 28 2020
-- Compiling module jyh_4490_5_testbench
Top level modules:
jyh_4490_5_testbench
2022-04-19 13:42:43 +00:00
} {} {}}