This repository has been archived on 2024-01-06. You can view files and clone it, but cannot push or open issues or pull requests.
justhomework/Quartus/v5_testbench/work/_info

58 lines
1.2 KiB
Text
Raw Normal View History

2022-04-19 13:42:43 +00:00
m255
K4
z2
!s11f vlog 2020.1 2020.02, Feb 28 2020
13
!s112 1.1
!i10d 8192
!i10e 25
!i10f 100
cModel Technology
d/home/ir
vjyh_4490_5_divider
2022-04-19 14:26:41 +00:00
Z0 !s110 1650377050
2022-04-19 13:42:43 +00:00
!i10b 1
!s100 :51`ED_L_=jC79hTRKYiA0
2022-04-19 14:26:41 +00:00
Z1 !s11b Dg1SIo80bB@j0V0VzS_@n1
2022-04-19 13:42:43 +00:00
I8YhV0<NhWeojWca4N4PoZ3
2022-04-19 14:26:41 +00:00
Z2 VDg1SIo80bB@j0V0VzS_@n1
Z3 d/home/ir/Documents/codelib/Quartus/v5_testbench
Z4 w1650375953
2022-04-19 13:42:43 +00:00
8/home/ir/Documents/codelib/Quartus/v5/jyh_4490_5_divider.v
F/home/ir/Documents/codelib/Quartus/v5/jyh_4490_5_divider.v
2022-04-19 14:26:41 +00:00
!i122 11
2022-04-19 13:42:43 +00:00
L0 1 42
2022-04-19 14:26:41 +00:00
Z5 OV;L;2020.1;71
2022-04-19 13:42:43 +00:00
r1
!s85 0
31
2022-04-19 14:26:41 +00:00
Z6 !s108 1650377050.000000
2022-04-19 13:42:43 +00:00
!s107 /home/ir/Documents/codelib/Quartus/v5/jyh_4490_5_divider.v|
!s90 -reportprogress|300|-work|work|-stats=none|/home/ir/Documents/codelib/Quartus/v5/jyh_4490_5_divider.v|
!i113 1
2022-04-19 14:26:41 +00:00
Z7 o-work work
Z8 tCvgOpt 0
2022-04-19 13:42:43 +00:00
vjyh_4490_5_testbench
R0
2022-04-19 14:26:41 +00:00
!i10b 1
!s100 eN>1@LKgm`8Vbm<0Ud;k03
2022-04-19 13:42:43 +00:00
R1
2022-04-19 14:26:41 +00:00
IJ9O`UFcWJC98ziY7lAEQ60
2022-04-19 13:42:43 +00:00
R2
2022-04-19 14:26:41 +00:00
R3
R4
2022-04-19 13:42:43 +00:00
8/home/ir/Documents/codelib/Quartus/v5/jyh_4490_5_testbench.v
F/home/ir/Documents/codelib/Quartus/v5/jyh_4490_5_testbench.v
2022-04-19 14:26:41 +00:00
!i122 12
2022-04-19 13:42:43 +00:00
L0 2 23
2022-04-19 14:26:41 +00:00
R5
2022-04-19 13:42:43 +00:00
r1
!s85 0
31
2022-04-19 14:26:41 +00:00
R6
2022-04-19 13:42:43 +00:00
!s107 /home/ir/Documents/codelib/Quartus/v5/jyh_4490_5_testbench.v|
!s90 -reportprogress|300|-work|work|-stats=none|/home/ir/Documents/codelib/Quartus/v5/jyh_4490_5_testbench.v|
!i113 1
2022-04-19 14:26:41 +00:00
R7
R8